The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for circuit with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (16) 1959-1960 (20) 1961 (37) 1962 (20) 1963 (22) 1964 (30) 1965 (40) 1966-1968 (29) 1969-1970 (22) 1971-1972 (22) 1973 (16) 1974-1975 (39) 1976 (30) 1977 (28) 1978 (26) 1979 (29) 1980 (29) 1981 (26) 1982 (53) 1983 (54) 1984 (68) 1985 (89) 1986 (86) 1987 (91) 1988 (217) 1989 (205) 1990 (306) 1991 (257) 1992 (292) 1993 (420) 1994 (429) 1995 (744) 1996 (603) 1997 (602) 1998 (633) 1999 (897) 2000 (840) 2001 (843) 2002 (1113) 2003 (1371) 2004 (1403) 2005 (1935) 2006 (1900) 2007 (2030) 2008 (1770) 2009 (1325) 2010 (764) 2011 (960) 2012 (852) 2013 (942) 2014 (792) 2015 (1075) 2016 (980) 2017 (1237) 2018 (1179) 2019 (1213) 2020 (1213) 2021 (1369) 2022 (1441) 2023 (1637) 2024 (395)
Publication types (Num. hits)
article(14766) book(35) data(23) incollection(137) inproceedings(21872) phdthesis(236) proceedings(37)
Venues (Conferences, Journals, ...)
Int. J. Circuit Theory Appl.(3099) ECCTD(1441) IEEE Trans. Comput. Aided Des....(1380) ISCAS(1360) DAC(901) CoRR(752) PATMOS(650) ICCAD(638) IEEE Trans. Very Large Scale I...(570) VLSI Design(569) DATE(537) IEEE Access(458) ASP-DAC(445) ISQED(438) IEEE Trans. Ind. Electron.(409) SMACD(397) More (+10 of total 2506)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15796 occurrences of 4131 keywords

Results
Found 37106 publication records. Showing 37106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Mark C. Hansen, John P. Hayes High-level test generation using physically-induced faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-level test generation, physically-induced faults, industry-standard single stuck-line faults, independent functional faults, near-minimal size, fault diagnosis, logic testing, integrated circuit testing, design for testability, automatic testing, functional tests, failure analysis, benchmark circuits, circuit under test
20Vinay Dabholkar, Sreejit Chakravarty, J. Najm, Janak H. Patel Cyclic stress tests for full scan circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cyclic stress tests, fully testable unpackaged dies, burn-in process, cyclic input sequences, stress related problems, ISCAS89 benchmark circuits, monitored burn-in problems, IC reliability, VLSI, VLSI, logic testing, integrated circuit testing, CMOS, CMOS logic circuits, boundary scan testing, MCMs, integrated circuit reliability, full scan circuits
20Russell J. Clark 0001, Ronald R. Hutchins Deploying ATM in a data network: an analysis of SVC requirements. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF telecommunication traffic recording, telecommunication switching, campus data networks, SVC requirements, connectionless network layer protocols, connection-oriented service, call setups, concurrent SVCs, large campus networks, circuit-based network, networking requirements, college campus, IP traffic logs, ATM deployment scenarios, circuit replacement algorithms, SVC setup rates, hold times, switched virtual circuits, asynchronous transfer mode, ATM, local area networks, IP, educational technology, ATM switches, educational computing, data network
20Gloria Kissin Upper and Lower Bounds on Switching Energy in VLSI. Search on Bibsonomy J. ACM The full citation details ... 1991 DBLP  DOI  BibTeX  RDF 1-switchable functions, AND function, CID VLSI circuit, OR functions, USM, circuit scheme, compare functions, parity function, switching energy, uniswitch, energy-efficient, embedding, energy consumption, layout, average-case analysis, addition, upper and lower bounds
20David W. Capson, Sai-Kit Eng A Tiered-Color Illumination Approach for Machine Inspection of Solder Joints. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF PCB inspection, tiered-color illumination, machine inspection, solder joints, color contours, colour vision, printed circuit manufacture, soldering, computer vision, statistical analysis, computerised pattern recognition, computerised pattern recognition, inspection, binary image, printed circuit boards, color vision, flaw detection
20Cosmin Radu Popa A New FGMOST Euclidean Distance Computational Circuit Based on Algebraic Mean of the Input Potentials. Search on Bibsonomy ICANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Computational circuits, FGMOST, VLSI design
20Lech Józwiak, Szymon Bieganski Technology Library Modelling for Information-driven Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Woonki Na, Bei Gou A thermal equivalent circuit for PEM fuel cell temperature control design. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Bas M. Putter On-chip RC measurement and calibration circuit using Wheatstone bridge. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Nathan O. Scott, Gerhard W. Dueck Pairwise decomposition of toffoli gates in a quantum circuit. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF elementary quantum gates, synthesis, minimization, reversible logic, quantum circuits
20Abhisek Pan, James W. Tschanz, Sandip Kundu A Low Cost Scheme for Reducing Silent Data Corruption in Large Arithmetic Circuit. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-Power and testable circuit synthesis using Shannon decomposition. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shannon expansion, dynamic supply gating, test coverage, Design-for-test, IDDQ, noise immunity, test power
20Eva Sciacca, Salvatore Spinella, Angelo Marcello Anile Possibilistic Worst Case Distance and Applications to Circuit Sizing. Search on Bibsonomy IFSA (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Il Song Han Membership Function Circuit for Neural/Fuzzy Hardware of Analog-Mixed Operation Based on the Programmable Conductance. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Lukas Dolivka, Jirí Hospodka Using deferential evolution algorithm for the multi-objective optimization of a switched-current circuit. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Huifei Rao, Jie Chen 0002, Changhong Yu, Woon Tiong Ang, I-Chyn Wey, An-Yeu Wu, Hong Zhao Ensemble Dependent Matrix Methodology for Probabilistic-Based Fault-tolerant Nanoscale Circuit Design. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Daniel Bernhard Fasnacht, Tobi Delbrück Dichromatic spectral measurement circuit in vanilla CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Subhasish Mitra Circuit Failure Prediction Enables Robust System Design Resilient to Aging and Wearout. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Alan Rosen, Daniel Rosen A Robotic Optical Circuit that Generates a 3D-visual Image: Solution to the inverse optics problem for robotic visual seeing by reverse engineering the neurophysiology of the modalities of the retinal receptors. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Mridul Agarwal, Bipul C. Paul, Ming Zhang, Subhasish Mitra Circuit Failure Prediction and Its Application to Transistor Aging. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kunhyuk Kang, Keejong Kim, Kaushik Roy 0001 Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Jae-Joon Kim, Kaushik Roy 0001 A Leakage-Tolerant Low-Swing Circuit Style in Partially Depleted Silicon-on-Insulator CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Toshihiro Katashita, Atusi Maeda, Kenji Toda, Yoshinori Yamaguchi A Method of Generating Highly Efficient String Matching Circuit for Intrusion Detection. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Pan Zhongliang, Chen Ling, Liu Shouqiang, Guangzhao Zhang Neural Network Approach for Multiple Fault Test of Digital Circuit. Search on Bibsonomy ISDA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Benjamas Tongprasit, Tadashi Shibata Power-balanced reconfigurable floating-gate-MOS logic circuit for tamper resistant VLSI. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Volkan Kursun, Zhiyu Liu Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Alex K. Y. Wong, Kong-Pang Pun, Yuan-Ting Zhang, Oliver Chiu-sing Choy An ECG measurement IC using driven-right-leg circuit. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sanjay Kumar Wadhwa, G. K. Siddhartha, Anand Gaurav Zero Steady State Current Power on Reset Circuit with Brown-Out Detector. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ruei-Jhe Tsai, Hsin-Wen Ting, Chi-Sheng Lin, Bin-Da Liu A CAM/WTA-Based High Speed and Low Power Longest Prefix Matching Circuit Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Zhongyuan Zhang, Fangcheng Lu, Yutong Chen A High Frequency Circuit Model for Current Transformer Based on the Scattering Parameter. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Daisuke Atuti, Takashi Morie, Kazuyuki Aihara A Current-Sampling-Mode Arbitrary Chaos Generator Circuit Using Pulse Modulation Approach Driven by Quantized Nonlinear Waveforms. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Mohammad Reza Jahed-Motlagh, Behnam Kia Chua Circuit Based Reconfigurable Computing System. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hao Yu 0001, Lei He 0001, Zhenyu Qi, Sheldon X.-D. Tan A wideband hierarchical circuit reduction for massively coupled interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Bo Hu, Zhao Li, Lili Zhou, C.-J. Richard Shi, Kwang-Hyun Baek, Myung-Jun Choe Model-compiler based efficient statistical circuit analysis: an industry case study of a 4 GHz/6-bit ADC/DAC/DEMUX ASIC. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Takahide Sato, Shigetaka Takagi, Nobuo Fujii, Yasuyuki Hashimoto, Kohji Sakata, Hiroyuki Okada Feedforward-type parasitic capacitance canceler and its application to 4 Gb/s T/H circuit. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Shubhajit Roy Chowdhury, C. Pramanik, Hiranmay Saha ASIC Design of the Linearisation Circuit of a PTC Thermistor. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Dong Xiang, Janak H. Patel Partial Scan Design Based on Circuit State Information and Functional Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Valid state, invalid state, testability improvement potential, conflict, testability measure, partial scan design
20Loc Vu-Quoc, Yuhu Zhai, Khai D. T. Ngo Efficient simulation of coupled circuit-field problems: generalized Falk method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Görschwin Fey, Junhao Shi, Rolf Drechsler BDD Circuit Optimization for Path Delay Fault Testability. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Lech Józwiak, Szymon Bieganski Information Trans-Coders in Information-Driven Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Guanghui Li 0001, Xiaowei Li 0001 Circuit-Width Based Heuristic for Boolean Reasoning. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Yehya H. Ghallab, Wael M. Badawy A Novel pH Sensor Current Mode Read-Out Circuit Using Operational Floating Current Conveyor. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Chemical sensor, ISFET pH sensor, Differential ISFET, Operational Floating Current Conveyor, Current mode circuits
20Raoul F. Badaoui, Hemanth Sampath, Anuradha Agarwal, Ranga Vemuri A high level language for pre-layout extraction in parasite-aware analog circuit synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MSL, pre-layout extraction, parasitics, analog VLSI
20Pavel V. Nikitin, Vikram Jandhyala, Daniel A. White, Nathan Champagne, John D. Rockway, C.-J. Richard Shi, Chuanyi Yang, Yong Wang 0006, Gong Ouyang, Rob Sharpe, John W. Rockway Modeling and Simulation of Circuit-Electromagnetic Effects in Electronic Design Flow. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Daisuke Ezaki, Masaki Hashizume, Hiroyuki Yotsuyanagi, Takeomi Tamesada A Power Supply Circuit Recycling Charge in Adiabatic Dynamic CMOS Logic Circuits. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Ganesh Kothapalli Excitation Modes and Transient Response of a Winner-take-all Circuit. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell CMOS Circuit Design for Minimum Dynamic Power and Highest Speed. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20D. Satyanarayana, Santanu Chattopadhyay, Jakki Sasidhar Low Power Combinational Circuit Synthesis targeting Multiplexer based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Vidyasagar Nookala, Sachin S. Sapatnekar A method for correcting the functionality of a wire-pipelined circuit. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synchronous design, wire pipelining
20O'tega Ejofodomi, Shani E. Ross, Ahmed Jendoubi, Mohamed F. Chouikha, Jianchao Zeng 0002 Online Handwritten Circuit Recognition on a Tablet PC. Search on Bibsonomy AIPR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Yu-Liang Wu, Chak-Chung Cheung, David Ihsin Cheng, Hongbing Fan Further improve circuit partitioning using GBAW logic perturbation techniques. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Hafiz Md. Hasan Babu, Md. Rafiqul Islam 0001, Ahsan Raja Chowdhury, Syed Mostahed Ali Chowdhury Reversible Logic Synthesis for Minimization of Full-Adder Circuit. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Minoru Watanabe, Fuminori Kobayashi An Optically Differential Reconfigurable Gate Array with a Dynamic Reconfiguration Circuit. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Hidehiro Nakano, Keita Miyachi, Toshimichi Saito A simple nonautonomous chaotic circuit with a periodic pulse-train input. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Alan A. Stocker Compact integrated transconductance amplifier circuit for temporal differentiation. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen Circuit simplification for the symbolic analysis of analogintegrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Shuichi Ichikawa, Shoji Yamamoto Data Dependent Circuit for Subgraph Isomorphism Problem. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Tetsuya Uemura, Pinaki Mazumder Rise time analysis of MOBILE circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Seong-Kweon Kim, Shigehito Saigusa, Suguru Kameda, Hiroyuki Nakase, Kazuo Tsubouchi New current attenuator circuit in the current mode FFT LSI for OFDM. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Chun-Hung Chen, Karen Donohue, Jianwu Lin, Enver Yücesan Efficient Approach for Monte Carlo Simulation Experiments and Its Applications to Circuit Systems Design. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Ming-Dou Ker, Tung-Yang Chen Design on the turn-on efficient power-rail ESD clamp circuit with stacked polysilicon diodes. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Benjamin Becker, George J. Cokkinides, Michael Sechrest Field, Circuit, and Visualization Based Simulation Methodology for Passive Electronic Components. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Yuji Kasai, Hidenori Sakanashi, Masahiro Murakawa, Shogo Kiryu, Neil Marston, Tetsuya Higuchi Initial Evaluation of an Evolvable Microwave Circuit. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Vitalij Ocheretnij, Michael Gössel, Vladimir V. Saposhnikov, Valerij V. Saposhnikov A New Method of Redundancy Addition for Circuit Optimization. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Manish Sharma, Janak H. Patel Bounding Circuit Delay by Testing a Very Small Subset of Paths. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Linear relations between path delays, Basis path set, Delay fault testing
20Adrián Núñez-Aldana, Ranga Vemuri An Analog Performance Estimator for Improving the Effectiveness of CMOS Analog Systems Circuit Synthesis. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Sung Dae Lee, Myungjun Jang, Won Hyo Lee An On-Chip Automatic Tuning Circuit using Integration Level Approximation. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Spiridon Vlassis, Stilianos Siskos High speed and high resolution WTA circuit. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20D. B. LaFontaine Full duplex transhybrid circuit for voice communications through a single crosspoint. Search on Bibsonomy ISCAS (4) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Ramakrishna Voorakaranam, Abhijit Chatterjee Feedback Driven Backtrace of Analog Signals and its Application to Circuit Verification and Test. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF analog verification, fault diagnosis, test generation, analog testing, Backtrace
20Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah, Chai Wah Wu JiffyTune: circuit optimization using time-domain sensitivities. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Kazuyuki Amano, Akira Maruoka A Superpolynomial Lower Bound for a Circuit Computing the Clique Function with At Most (1/6) log log n Negation Gates. Search on Bibsonomy MFCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Weitong Chuang, Sachin S. Sapatnekar, Ibrahim N. Hajj Timing and area optimization for standard-cell VLSI circuit design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Jun Gu, Ruchir Puri Asynchronous circuit synthesis with Boolean satisfiability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Curtis L. Ratzlaff, Lawrence T. Pillage RICE: rapid interconnect circuit evaluation using AWE. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Wing Ning Li, Andrew Lim 0001, Prathima Agrawal, Sartaj Sahni On the circuit implementation problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Krishna P. Belkhale, Prithviraj Banerjee Parallel algorithms for VLSI circuit extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
20Wen-Jeng Lue, Lawrence P. McNamee Extracting Schematic-like Information from CMOS Circuit Net-lists. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Donald M. Webber, Alberto L. Sangiovanni-Vincentelli Circuit Simulation on the Connection Machine. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Mei Xue, Jingsong He A Circuit Generating Mechanism with Evolutionary Programming for Improving the Diversity of Circuit Topology in Population-Based Analog Circuit Design. Search on Bibsonomy ICSI (1) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Recai Kiliç Chaos Synchronization in SC-CNN-Based Circuit and an Interesting Investigation: Can a SC-CNN-Based Circuit Behave Synchronously with the Original Chua's Circuit? Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Recai Kiliç A Comparative Study on Realization of Chua's Circuit: Hybrid Realizations of Chua's Circuit Combining the Circuit Topologies Proposed for Chua's diode and inductor Elements. Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jørgen Staunstrup, Stephen J. Garland, John V. Guttag Mechanized Verification of Circuit Descriptions Using the Larch Prover. Search on Bibsonomy TPCD The full citation details ... 1992 DBLP  BibTeX  RDF
19Paul B. Jackson Nuprl and Its Use in Circuit Design. Search on Bibsonomy TPCD The full citation details ... 1992 DBLP  BibTeX  RDF
19Jernej Olensek, Árpád Bürmen, Janez Puhan, Tadej Tuma DESA: a new hybrid global optimization method and its application to analog integrated circuit sizing. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Analog integrated circuit sizing, Optimization, Simulated annealing, Differential evolution
19Pedro Sousa, Carla Duarte, Nuno Horta FUGA: a fuzzy-genetic analog circuit optimization kernel. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithms, optimization, CAD, analog circuit, fuzzy model
19Yan Li 0029, Vladimir Stojanovic Yield-driven iterative robust circuit optimization algorithm. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF robust circuit optimization, variability, yield, analog circuits
19Vladimir Kolesnikov, Thomas Schneider 0003 A Practical Universal Circuit Construction and Secure Evaluation of Private Functions. Search on Bibsonomy Financial Cryptography The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SFE of private functions, universal circuit, privacy
19Ci Lei, Dinesh Pamunuwa, Steven Bailey, Colin Lambert Application of Molecular Electronics Devices in Digital Circuit Design. Search on Bibsonomy NanoNet The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nanotechnology, circuit simulation, molecular electronics
19Mithilesh Kumar 0002, Vineeta Chaube, Pavan Balaji, Wu-chun Feng, Hyun-Wook Jin Making a Case for Proactive Flow Control in Optical Circuit-Switched Networks. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rate-based protocol, LambdaGrid, optical networks, circuit switched
19Gustavo Wilke, Renan Fonseca, Cecilia Mezzomo, Ricardo Reis 0001 A novel scheme to reduce short-circuit power in mesh-based clock architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clock mesh, power, clock skew, short-circuit
19Alodeep Sanyal, Sandip Kundu A Built-in Test and Characterization Method for Circuit Marginality Related Failures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit Marginality, Pseudorandom Pattern Generator (PRPG), Multiple Input Signature Register (MISR), Fmax testing based on frequency shmoo, Built-In Self-Test (BIST), Design-for-Testability (DFT), Linear Feedback Shift Register (LFSR)
19Charbel J. Akl, Magdy A. Bayoumi Feedback-Switch Logic (FSL): A High-Speed Low-Power Differential Dynamic-Like Static CMOS Circuit Family. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF circuit family, low-power, high-speed
19Hsin-Chou Chi, Chia-Ming Wu, Jun-Hui Lee Integrated Mapping and Scheduling for Circuit-Switched Network-on-Chip Architectures. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network-on-chip architectures, scheduling, mapping, circuit-switched networks
19Michal Koucký 0001 Circuit Complexity of Regular Languages. Search on Bibsonomy CiE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF circuit complexity, regular languages
19Mehmet Bayram Yildirim, Ekrem Duman, Dilek Duman Dispatching Rules for Allocation of Component Types to Machines in the Automated Assembly of Printed Circuit Boards. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Printed Circuit Board Assembly, Load Balancing, Heuristics
19Farshad Safaei, Ahmad Khonsari, Mahmood Fathy, Mohamed Ould-Khaoua Analysis of Circuit Switching for the Torus Interconnect Networks with Hot-Spot Traffic. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Hot-spot traffic, Queuing theory and Performance evaluation, Parallel computers, Interconnect networks, Torus, Circuit switching
19Yvan Maidon, Thomas Zimmer, André Ivanov An Analog Circuit Fault Characterization Methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog circuit testing, analog fault diagnosis, analog fault characterization
19Fengming Zhang, Rui Tang, Yong-Bin Kim SET-based nano-circuit simulation and design method using HSPICE. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SET circuit design, SET modeling, SET simulation with HSPICE
Displaying result #401 - #500 of 37106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license