The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for configurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1986 (15) 1987-1990 (18) 1991-1992 (42) 1993 (21) 1994 (47) 1995 (25) 1996 (54) 1997 (50) 1998 (107) 1999 (97) 2000 (132) 2001 (120) 2002 (145) 2003 (203) 2004 (249) 2005 (286) 2006 (255) 2007 (252) 2008 (270) 2009 (198) 2010 (126) 2011 (116) 2012 (122) 2013 (142) 2014 (135) 2015 (145) 2016 (128) 2017 (157) 2018 (171) 2019 (176) 2020 (173) 2021 (187) 2022 (198) 2023 (212) 2024 (38)
Publication types (Num. hits)
article(1270) book(2) data(2) incollection(14) inproceedings(3444) phdthesis(75) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2225 occurrences of 1302 keywords

Results
Found 4812 publication records. Showing 4812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Aad P. A. van Moorsel, Yiqing Huang Reusable Software Components for Performability Tools and Their Utilization for Web-Based Configurable Tools. Search on Bibsonomy Computer Performance Evaluation (Tools) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Wei-Kang Huang, M. Y. Zhang, Fred J. Meyer, Fabrizio Lombardi A XOR-Tree Based Technique for Constant Testability of Configurable FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF programmable system, diagnosis, FPGA testing, XOR
17Seonil Choi, Viktor K. Prasanna, Yongwha Chung Configurable Hardware for Symbolic Search Operations. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17David Channon, David Koch Performance Analysis of Re-configurable Partitioned TLBs. Search on Bibsonomy HICSS (5) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Computer Architecture, Memory Management, Partitioning Algorithm, Address Translation
17Wei-Kang Huang, Fabrizio Lombardi An approach for testing programmable/configurable field programmable gate arrays. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF behavioral characterization, single fault detection, disjoint one-dimensional arrays, unilateral horizontal connections, common vertical input lines, array testing, logic blocks, field programmable gate arrays, field programmable gate arrays, VLSI, logic testing, integrated circuit testing, stuck-at fault, FPGA testing, functional fault, hybrid fault model
17Steven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic Architecture of Centralized Field-Configurable Memory. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Nina T. Bhatti, Richard D. Schlichting A System for Constructing Configurable High-Level Protocols. Search on Bibsonomy SIGCOMM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Rick Amerson, Richard J. Carter, W. Bruce Culbertson, Philip Kuekes, Greg Snider Teramac-configurable custom computing. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Alfred Strey, Narcís Avellana, Raul Holgado, Ramon Capillas, J. Alberto Fernández, Elena Valderrama A Configurable Parallel Neurocomputer. Search on Bibsonomy ANNES The full citation details ... 1995 DBLP  DOI  BibTeX  RDF neural hardware, parallel computer, neurocomputer
17Gordon J. Brebner Configurable array logic circuits for computing network error detection codes. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Jeff Kramer, Anthony Finkelstein A Configurable Framework for Method and Tool Integration. Search on Bibsonomy Software Development Environments and CASE Technology The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Tom Kean, John Gray Configurable hardware: Two case studies of micro-grain computation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Krzysztof Kepa, Fearghal Morgan, Peter Athanas ERDB: An Embedded Routing Database for Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF design integrity, security, FPGA, autonomic computing, partial reconfiguration, configurable systems
15Wil M. P. van der Aalst Business Process Configuration in the Cloud: How to Support and Analyze Multi-tenant Processes? Search on Bibsonomy ECOWS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF configurable process models, cross-organizational process mining, causal nets, cloud computing
15Shinichi Yasuda, Tetsufumi Tanamoto, Kazutaka Ikegami, Atsuhiro Kinoshita, Keiko Abe, Hirotaka Nishino, Shinobu Fujita High-performance FPGA based on novel DSS-MOSFET and non-volatile configuration memory (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dopant-segregated schottky transistor, nonvolatile configurable memory
15Roman L. Lysecky, Frank Vahid Design and implementation of a MicroBlaze-based warp processor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF soft processor cores, FPGA, dynamic optimization, hardware/software partitioning, configurable logic, Warp processors, just-in-time (JIT) compilation
15André Stauffer, Joël Rossier Bio-inspired Self-Testing and Self-Organizing Bit Slice Processors. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable molecule, cicatrization, test, configuration, regeneration
15Michael P. Caffrey, Keith Morgan, Diane Roussel-Dupre, Scott Robinson, Anthony Nelson, Anthony Salazar, Michael J. Wirthlin, William Howes, Daniel Richins On-Orbit Flight Results from the Reconfigurable Cibola Flight Experiment Satellite (CFESat). Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, fault tolerant computing, configurable computing
15Xiaohui Cheng, Yongjian Hu, Youmin Gong Design Methodology of Control System with Mutual Exclusion. Search on Bibsonomy PACIIA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Mutual Exclusion, Control System, Hardware/software Partition, Hardware/software Co-design, Configurable Logics
15Jason Yu, Guy G. Lemieux, Christopher Eagleston Vector processing as a soft-core CPU accelerator. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF C2H, FPGA, configurable, embedded processor, application specific, soft processor, data-level parallelism
15Antoine Gallais, Jean Carle Performance Evaluation and Enhancement of Surface Coverage Relay Protocol. Search on Bibsonomy Networking The full citation details ... 2008 DBLP  DOI  BibTeX  RDF configurable localized algorithm, realistic physical layer, Wireless sensor networks, area coverage
15Sean P. Sangster, John T. Blake Developing a reusable simulation model to improve access to diagnostic imaging clinics in Nova Scotia. Search on Bibsonomy SpringSim The full citation details ... 2008 DBLP  DOI  BibTeX  RDF configurable simulation, diagnostic imaging, patient flow, reusable simulation, discrete event simulation
15Pablo Viana, Ann Gordon-Ross, Edna Barros, Frank Vahid A table-based method for single-pass cache optimization. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF configurable cache tuning, low energy, cache optimization
15Juan A. Escalera, Manuel Ferre, Rafael Aracil, José Baca ROBMAT: Teleoperation of a Modular Robot for Collaborative Manipulation. Search on Bibsonomy KES (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF robot, Modular, teleoperation, self-configurable, human-interface
15Nut Taesombut, Andrew A. Chien Evaluating the impacts of network information models on applications and network service providers. Search on Bibsonomy HPDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF configurable optical network, lambda-grids, information model
15Roman L. Lysecky, Greg Stitt, Frank Vahid Warp Processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, dynamic optimization, hardware/software codesign, hardware/software partitioning, configurable logic, Warp processors, just-in-time (JIT) compilation
15Arjen van Rhijn, Jurriaan D. Mulder Spatial input device structure and bimanual object manipulation in virtual environments. Search on Bibsonomy VRST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF configurable input device, multi-dimensional control, virtual reality, direct manipulation
15Mihai Sima, Sorin Cotofana, Jos T. J. van Eijndhoven, Stamatis Vassiliadis, Kees A. Vissers IEEE-Compliant IDCT on FPGA-Augmented TriMedia. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF inverse discrete cosine transform, field-programmable gate array, configurable computing, VLIW processor
15Heidi E. Ziegler, Mary W. Hall Evaluating heuristics in automatically mapping multi-loop applications to FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level and architectural synthesis, parallelizing compiler analysis techniques, synthesis techniques for configurable computing, FPGAs, pipelining, rapid prototyping, hardware design
15Roman L. Lysecky, Frank Vahid, Sheldon X.-D. Tan A Study of the Scalability of On-Chip Routing for Just-in-Time FPGA Compilation. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF standard hardware binary, FPGA, dynamic optimization, system-on-a-chip, platforms, codesign, hardware/software partitioning, configurable logic, Place and route, warp processors, just-in-time (JIT) compilation
15Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry LAP: a logic activity packing methodology for leakage power-tolerant FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF activity profile, basic logic elements (BLEs), configurable logic blocks (CLBs), sleep transistor (ST), sub-threshold leakage power, FPGA, packing
15Aristides Efthymiou, Jim D. Garside, Ioannis Papaefstathiou A Low-Power Processor Architecture Optimized forWireless Devices. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Pipeline depth, configurable pipeline, power-adaptive processors, Low power, asynchronous circuits
15William D. Smith, Austars R. Schnore Towards an RCC-Based Accelerator for Computational Fluid Dynamics Applications. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF re-configurable computing applications, computational fluid dynamics
15Joonseok Park, Pedro C. Diniz, K. R. Shesha Shayee Performance and Area Modeling of Complete FPGA Designs in the Presence of Loop Transformations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance analysis and modeling, loop transformations and high-level synthesis, Field-Programmable-Gate-Arrays (FPGAs), configurable computing
15Karthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu 0001, Changkyu Kim, Jaehyuk Huh 0001, Nitya Ranganathan, Doug Burger, Stephen W. Keckler, Robert G. McDonald, Charles R. Moore TRIPS: A polymorphous architecture for exploiting ILP, TLP, and DLP. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable and high-performance computing, Computer architecture, configurable computing
15Andreas Dandalis, Viktor K. Prasanna An adaptive cryptographic engine for internet protocol security architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance tradeoffs, reconfigurable components, cryptography, reconfigurable computing, AES, configurable, high performance, IPSec, reconfigurable systems, Adaptive computing
15Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt Automatic Tuning of Two-Level Caches to Embedded Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache exploration, embedded systems, low power, low energy, cache optimization, architecture tuning, cache hierarchy, Configurable cache
15Timo Vogt, Norbert Wehn, Philippe Alves A multi-standard channel-decoder for base-station applications. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CDMA2k, convolutional decoder, hardware sharing, wireless, configurable, MAP, W-CDMA
15Keith D. Underwood, K. Scott Hemmert Closing the Gap: CPU and FPGA Trends in Sustainable Floating-Point BLAS Performance. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE floating point, re-configurable computing, FPGA, arithmetic
15Maya B. Gokhale, Christine Ahrens, Janette Frigo, Christophe Wolinski Communications Scheduling for Concurrent Processes on Reconfigurable Computers. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Configurable System on a Chip, scheduling, FPGA, high level synthesis, reconfigurable computing, Cellular Array
15Allen C. Cheng, Gary S. Tyson, Trevor N. Mudge FITS: framework-based instruction-set tuning synthesis for embedded application specific processors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 16-bit ISA, instruction synthesis, low-power, energy efficient, embedded processor, reconfigurable processors, ASP, instruction encoding, configurable architecture, code density
15Kiran Puttegowda, David I. Lehn, Jae H. Park, Peter M. Athanas, Mark T. Jones Context Switching in a Run-Time Reconfigurable System. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF virtual hardware, FPGA, run-time reconfiguration, configurable computing, context switching, multi-context
15Jack Liu, Fred C. Chow, Timothy Kong, Rupan Roy Variable Instruction Set Architecture and Its Compiler Support. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling
15David Goodwin, Darin Petkov Automatic generation of application specific processors. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF automatic instruction-set generation, ASIPs, configurable processors, extensible processors
15Chuanjun Zhang, Frank Vahid Cache Configuration Exploration on Prototyping Platforms. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, low power, memory hierarchy, low energy, architecture tuning, Configurable cache, system-level exploration
15Heidi E. Ziegler, Mary W. Hall, Pedro C. Diniz Compiler-generated communication for pipelined FPGA applications. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-level and architectural synthesis, parallelizing compiler analysis techniques, synthesis techniques for configurable computing, FPGAs, pipelining, rapid prototyping
15Keith D. Cooper, Devika Subramanian, Linda Torczon Adaptive Optimizing Compilers for the 21st Century. Search on Bibsonomy J. Supercomput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable compilers, order of optimization, biased random search, optimizing compilers
15Andreas Dandalis, Viktor K. Prasanna Run-time performance optimization of an FPGA-based deduction engine for SAT solvers. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable components, reconfigurable computing, configurable, high performance, Boolean satisfiability, reconfigurable systems, Adaptive computing, performance trade-offs
15Jack Liu, Timothy Kong, Fred C. Chow Effective Compilation Support for Variable Instruction Set Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling
15Owen G. McGrath Building an instructional portal: channeling the writing lab. Search on Bibsonomy SIGUCCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF general presentation layer, instructional portal, on-line writing lab (OWL), user-configurable interfaces, channels
15Jack Liu, Fred C. Chow A near-optimal instruction scheduler for a tightly constrained, variable instruction set embedded processor. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling
15Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg A case for dynamic pipeline scaling. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating
15Dannie Lau, Aaron Schneider, Milos D. Ercegovac, John D. Villasenor A FPGA-based Library for On-Line Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FFT, DSP, DCT, configurable computing, on-line arithmetic
15Peter Bellows, Brad L. Hutchings Designing Run-Time Reconfigurable Systems with JHDL. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGAs, image processing, CAD, configurable computing
15Janette Frigo, Maya B. Gokhale, Dominique Lavenier Evaluation of the streams-C C-to-FPGA compiler: an applications perspective. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA design tools, FPGA, high-level synthesis, configurable computing, hardware-software co-design, silicon compiler
15Olivier Hébert, Ivan C. Kraljic, Yvon Savaria A method to derive application-specific embedded processing cores. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF custom core, soft core, system-on-a-chip, embedded core, configurable processor
15Maya B. Gokhale, Janice M. Stone, Jeffrey M. Arnold, Mirek Kalinowski Stream-Oriented FPGA Computing in the Streams-C High Level Language. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA design tools, FPGA, high-level synthesis, configurable computing, hardware-software co-design, silicon compiler
15Bishnupriya Bhattacharya, Shuvra S. Bhattacharyya Quasi-Static Scheduling of Reconfigurable Dataflow Graphs for DSP Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF digital signal processing, rapid prototyping, dataflow, software synthesis, configurable computing
15Helena Krupnova, Gabriele Saucier FPGA Technology Snapshot: Current Devices and Design Tools. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Configurable Logic Block (CLB), System on a Programmable Chip (SOPC), FPGAs, routing, synthesis, placement, Rapid prototyping, floorplanning, timing optimization, macro block
15Robert Rinker, Jeffrey Hammes, Walid A. Najjar, A. P. Wim Böhm, Bruce A. Draper Compiling Image Processing Applications to Reconfigurable Hardware. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compilers, Configurable computing, image processing applications
15Jack S. N. Jean, Karen A. Tomko, Vikram Yavagal, Jignesh Shah, Robert Cook Dynamic Reconfiguration to Support Concurrent Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scheduling, field programmable gate array (FPGA), resource management, reconfiguration, Configurable computing
15Matti A. Hiltunen, Richard D. Schlichting, Xiaonan Han, Melvin M. Cardozo, Rajsekhar Das Real-Time Dependable Channels: Customizing QoS Attributes for Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF group multicast, Real-time, dependability, customization, configurable systems
15Eric K. Pauer, Paul D. Fiore, John M. Smith Algorithm Analysis and Mapping Environment for Adaptive Computing Systems: Further Results. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF smart generators, FPGA, synthesis, VHDL, configurable computing, ACS, Ptolemy
15Mark Jones 0002, Luke Scharf, Jonathan Scott, Chris Twaddle, Matthew Yaconis, Kuan Yao, Peter Athanas, Brian Schott Implementing an API for Distributed Adaptive Computing Systems. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel computing, API, embedded computing, configurable computing, adaptive computing
15Darren C. Cronquist, Chris Fisher, Miguel E. Figueroa, Paul Franklin, Carl Ebeling Architecture Design of Reconfigurable Pipelined Datapaths. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High-speed computation, Pipelining, Signal processing, Reconfigurable architectures, Configurable computing
15Michael Mrva, Klaus Buchenrieder, Rainer Kress 0002 A Scalable Architecture for Multi-threaded JAVA Applications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Java, configurable, multi-threaded, application-specific
15Ray Bittner, Peter M. Athanas Wormhole Run-Time Reconfiguration. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA, VLSI, digital signal processing, data flow, configurable computing
15Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto, Tomoo Inoue, Hideo Fujiwara A Test Methodology for Interconnect Structures of LUT-based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Programmable Interconnect Structures, Cross Point Switch, Configurable Logic Block, FPGA, Test Pattern Generation
13Konrad Voigt, Petko Ivanov, Andreas Rummler MatchBox: combined meta-model matching for semi-automatic mapping generation. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF meta-model matching, mapping generation, model engineering
13Alessandro Bozzon, Marco Brambilla 0001, Piero Fraternali, Pasquale Pigazzini Integration of a human face annotation technology in an audio-visual search engine platform. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF audiovisual information retrieval, multimedia, architectures, search engine, web search, face detection, automatic video annotation
13William Farr, Nicola Yuill, Eric Charles Harris, Steve Hinske In my own words: configuration of tangibles, object interaction and children with autism. Search on Bibsonomy IDC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF social interaction, configuration, tangibles, autism, object interaction
13António Rodrigues, Nuno Roma, Leonel Sousa p264: open platform for designing parallel H.264/AVC video encoders on multi-core systems. Search on Bibsonomy NOSSDAV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF h.264, parallel systems, multi-core processors, video encoder
13Benjamin Stopford, Steve Counsell, Emal Nasseri Simulating Software Evolution with Varying Numbers of Developers and Validation Using OSS. Search on Bibsonomy Australian Software Engineering Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Paul E. Marks, Cameron D. Patterson Data streaming and simd support for the microblaze architecture. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF streaming coprocessors, vector units, reconfigurability
13Stephen Friedman, Allan Carroll, Brian Van Essen, Benjamin Ylvisaker, Carl Ebeling, Scott Hauck SPR: an architecture-adaptive CGRA mapping tool. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modulo graph, spr, static sharing, clustering, scheduling, routing, placement, pathfinder
13Concepción Sanz, Manuel Prieto 0001, José Ignacio Gómez, Antonis Papanikolaou, Francky Catthoor System-level process variability compensation on memory organizations: on the scalability of multi-mode memories. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Yixin Diao, Xiaolei Hu, Asser N. Tantawi, Haishan Wu An adaptive feedback controller for SIP server memory overload protection. Search on Bibsonomy ICAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory overload protection, modeling, adaptive control, workload characterization
13Brent E. Nelson FPGA Design Productivity - A Discussion of the State of the Art and a Research Agenda. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Toshinori Sato, Shingo Watanabe Uncriticality-directed scheduling for tackling variation and power challenges. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Yoonjin Kim, Rabi N. Mahapatra Hierarchical reconfigurable computing arrays for efficient CGRA-based embedded systems. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable architecture (CGRA), computing hierarchy, embedded systems
13Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel A Compact and Accurate Gaussian Variate Generator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Florian Gottschalk, Wil M. P. van der Aalst, Monique H. Jansen-Vullers, H. M. W. Verbeek Protos2CPN: using colored Petri nets for configuring and testing business processes. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Nikolay Malitsky Processing heterogeneous abstract syntax trees with the mutable class pattern. Search on Bibsonomy OOPSLA Companion The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heterogeneous trees, mutable class pattern, OOP, AOP, visitor pattern
13Maryam Moazeni, Alireza Vahdatpour, Karthik Gururaj, Majid Sarrafzadeh Communication bottleneck in hardware-software partitioning. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, communication, hardware-software codesign
13Maristela Holanda, Angelo Brayner, Sergio Fialho Introducing self-adaptability into transaction processing. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MANET and MDBC, scheduler, databases, concurrency control
13Bin Wu, Shijun Liu, Lei Wu 0002 Dynamic Reliable Service Routing in Enterprise Service Bus. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Florian Gottschalk, Wil M. P. van der Aalst, Monique H. Jansen-Vullers Mining Reference Process Models and Their Configurations. Search on Bibsonomy OTM Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Young-Su Kwon, Bontae Koo, Nak-Woong Eum Application-adaptive reconfiguration of memory address shuffler for FPGA-embedded instruction-set processor. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Limei Liu, Yantao Tian, Xiaoliang Huang A Method to Estimate the Basin of Attraction of the System with Impulse Effects: Application to the Biped Robots. Search on Bibsonomy ICIRA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System with Impulse Effects, Biped Robot, Basin of Attraction
13Zhiyi Yu, Bevan M. Baas A low-area interconnect architecture for chip multiprocessors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Yuanfang Zhang, Christopher D. Gill, Chenyang Lu 0001 Reconfigurable Real-Time Middleware for Distributed Cyber-Physical Systems with Aperiodic Events. Search on Bibsonomy ICDCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Ada S. Y. Poon An Energy-Efficient Reconfigurable Baseband Processor for Wireless Communications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Nachiketh R. Potlapally, Srivaths Ravi 0001, Anand Raghunathan, Ruby B. Lee, Niraj K. Jha Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Luca Sterpone, Matteo Sonza Reorda, Massimo Violante, Fernanda Lima Kastensmidt, Luigi Carro Evaluating Different Solutions to Design Fault Tolerant Systems with SRAM-based FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reliability, fault tolerant systems, SEU, SRAM-based FPGA
13Wen-Hong Zhu, Tom Lamarche Modular Robot Manipulators Based on Virtual Decomposition Control. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Akash Kumar 0001, Andreas Hansson 0001, Jos Huisken, Henk Corporaal Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Xiao Hu, Pengyong Ma, Shuming Chen Scheduling for Combining Traffic of On-Chip Trace Data in Embedded Multi-core Processor. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Xiangjie Ma, Junpeng Mao, Yuxiang Hu, Julong Lan, Lian Guan, Baisheng Zhang Measurement of High-Speed IP Traffic Behavior Based on Routers. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Rakesh Reddy, Peter Petrov Eliminating inter-process cache interference through cache reconfigurability for real-time and low-power embedded multi-tasking systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time embedded systems, cache interference
13Tom Lamarche, Wen-Hong Zhu A virtual decomposition control based communication network for modular robots applications. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 4812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license