The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for temperature with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1974 (17) 1975-1983 (17) 1985-1987 (16) 1988-1989 (22) 1990-1991 (27) 1992 (15) 1993-1994 (39) 1995 (35) 1996 (30) 1997 (41) 1998 (56) 1999 (95) 2000 (113) 2001 (133) 2002 (177) 2003 (255) 2004 (314) 2005 (451) 2006 (535) 2007 (633) 2008 (660) 2009 (537) 2010 (452) 2011 (511) 2012 (487) 2013 (584) 2014 (658) 2015 (729) 2016 (733) 2017 (804) 2018 (982) 2019 (1038) 2020 (1101) 2021 (1200) 2022 (1293) 2023 (1339) 2024 (314)
Publication types (Num. hits)
article(8503) book(4) data(25) incollection(33) inproceedings(7804) phdthesis(74)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2113 occurrences of 1161 keywords

Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Woochul Jeon, John Melngailis, Robert W. Newcomb Disposable CMOS passive RFID transponder for patient monitoring. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Anand Ramalingam, David Z. Pan, Frank Liu 0001, Sani R. Nassif Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Alexander Ilin, Harri Valpola, Erkki Oja Extraction of Components with Structured Variance. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22S. Sharifian Attar, Mustapha Chérif-Eddine Yagoub, F. Mohammadi New Electro-Thermal Integrated Circuit Modeling using Coupling of Simulators. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Nayantara Bhatnagar, Sam Greenberg, Dana Randall The Effect of Boundary Conditions on Mixing Rates of Markov Chains. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Rajendra M. Patrikar, Olivier Peyran Design Planning for Uniform Thermal Distribution. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22B. K. Biswas, Y. M. Svirezhev, B. K. Bala A model to predict climate-change impact on fish catch in the world oceans. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Wei-Lun Hung, Yuan Xie 0001, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Thermal-Aware Task Allocation and Scheduling for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Shiqian Wu, Wei Song, Li-Jun Jiang, Shou-Lei Xie, Feng Pan 0002, Wei-Yun Yau, Surendra Ranganath Infrared Face Recognition by Using Blood Perfusion Data. Search on Bibsonomy AVBPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Paula A. Whitlock, Silvio A. Vitiello Quantum Monte Carlo Simulations of Solid 4He. Search on Bibsonomy LSSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Krishnakumar Sundaresan, Paul S. Ho, Siavash Pourkamali, Farrokh Ayazi A two-chip, 4-MHz, microelectromechanical reference oscillator. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Wei-Lun Hung, Yuan Xie 0001, Narayanan Vijaykrishnan, Charles Addo-Quaye, Theo Theocharides, Mary Jane Irwin Thermal-Aware Floorplanning Using Genetic Algorithms. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Rajarshi Paul, Amit Patra, Shailendra Baranwal, Kaushik Dash Design of Second-Order Sub-Bandgap Mixed-Mode Voltage Reference Circuit for Low Voltage Applications. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Katsumi Morishita, Akihiro Kaino Changing Resonance Wavelengths of Long-Period Fiber Gratings by the Glass Structure Modification. Search on Bibsonomy OpNeTec The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Bipin Rajendran, Pawan Kapur, Krishna Saraswat, R. Fabian W. Pease Self-consistent power/performance/reliability analysis for copper interconnects. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Joule heating, copper interconnects, rent's rule, electromigration, duty cycle, current density
22Man Lung Mui, Kaustav Banerjee, Amit Mehrotra Power Supply Optimization in sub-130 nm Leakage Dominant Technologies . Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Josep Altet, André Ivanov, A. Wong Thermal Testing of Analogue Integrated Circuits: A Case Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test of analogue ICs, thermal analysis of ICs, built-in self-testing, CMOS technology, thermal testing
22Sergiy Yu. Reutskiy, Enrico Rossoni, Brunello Tirozzi Conduction in bundles of demyelinated nerve fibers: computer simulation. Search on Bibsonomy Biol. Cybern. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Jian-Gang Wang, Ronda Venkateswarlu Pose for Fusing Infrared and Visible-Spectrum Imagery. Search on Bibsonomy AVBPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Kuo-Chao Lin, Chia-Shun Lai Fault Recognition System of Electrical Components in Scrubber Using Infrared Images. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Diego Barrettino, Markus Graf, Martin Zimmermann 0003, Christoph Hagleitner, Andreas Hierlemann, Henry Baltes A micro-hotplate-based monolithic CMOS gas sensor array. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Wenceslas Rahajandraibe, Christian Dufaza, Daniel Auvergne, Bruno Cialdella, Bernard Majoux, Vivek Chowdhury Test Structure for IC(VBE) Parameter Determination of Low Voltage Applications. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Arman Vassighi, Oleg Semenov, Manoj Sachdev, Ali Keshavarzi Effect of Static Power Dissipation in Burn-In Environment on Yield of VLSI. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Atul Wokhlu, R. Venkat Krishna, Sandeep Agarwal A Low Voltage Mixed Signal ASIC for Digital Clinical Thermometer. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jeehun Chung, Yonggwan Lee, Wonjin Jang, Siwoon Lee, Seongjoon Kim Correlation Analysis between Air Temperature and MODIS Land Surface Temperature and Prediction of Air Temperature Using TensorFlow Long Short-Term Memory for the Period of Occurrence of Cold and Heat Waves. Search on Bibsonomy Remote. Sens. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Xiao Lei, Xutao Fan, Hanwan Jiang, Kunning Zhu, Hanyu Zhan Temperature Field Boundary Conditions and Lateral Temperature Gradient Effect on a PC Box-Girder Bridge Based on Real-Time Solar Radiation and Spatial Temperature Monitoring. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Jessica R. P. Sutton, Venkat Lakshmi From Space to the Rocky Intertidal: Using NASA MODIS Sea Surface Temperature and NOAA Water Temperature to Predict Intertidal Logger Temperature. Search on Bibsonomy Remote. Sens. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Scott N. Williamson, David S. Hik, John A. Gamon, Jeffrey L. Kavanaugh, Gwenn E. Flowers Estimating Temperature Fields from MODIS Land Surface Temperature and Air Temperature Observations in a Sub-Arctic Alpine Environment. Search on Bibsonomy Remote. Sens. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Arnab Das, Anjan K. Chandra, Bikas K. Chakrabarti A Zero-Temperature Quantum Monte Carlo Algorithm and Quantum Spin Glasses. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Quantum Monte Carlo, zero-temperature quantum Monte Carlo, quantum annealing
20David Wolpert 0001, Bo Fu, Paul Ampadu Temperature-Aware Delay Borrowing for Energy-Efficient Low-Voltage Link Design. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF delay borrowing, energy-efficient, interconnect, Temperature, low voltage
20João M. L. P. Caldeira, Jose A. F. Moutinho, Binod Vaidya, Pascal Lorenz, Joel J. P. C. Rodrigues Intra-body Temperature Monitoring Using a Biofeedback Solution. Search on Bibsonomy eTELEMED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Temperature Monitoring, Wireless sensor network, e-Health, Biofeedback, Biosensor
20Stanislaw Oldziej, Cezary Czaplewski, Adam Liwo, Harold A. Scheraga Towards Temperature Dependent Coarse-grained Potential of Side-chain Interactions for Protein Folding Simulations. Search on Bibsonomy BIBE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hydrophobic interactions, coarsegrained force fields, protein folding, temperature dependence
20Hao Zheng 0002, Hongwei Wu Analysis on the Correlation Relationships between the Temperature Range Condition and the Genic GC Content Levels of Prokaryotes. Search on Bibsonomy BIBE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF GC content, prokaryote, KS test, temperature
20Zahra Khanmirzaei Training Recurrent Neuro-Fuzzy System Using Two Novel Population-Based Algorithms for Temperature Forecasting. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF breeding swarms, improved honey bee optimization, Mamdani recurrent neuro-fuzzy system, population-based algorithms, temperature forecasting
20Shaobo Liu, Meikang Qiu, Wenzhong Gao, Xiao-jun Tang, Bin Guo Hybrid of Job Sequencing and DVFS for Peak Temperature Reduction with Nondeterministic Applications. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF peak temperature, nondeterministic, embedded systems, Thermal management
20Jiao-fang Shi, Jian Wang On Chip Temperature Sensors' Layout for Future Thermal Management. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CPU chip, management, sensor, layout, temperature
20Chunying Wu, Xiaoming Wang, Ling Yang Research on Simulation of Temperature Control System for Gyro Based on Single Neuron PID. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF single neuron adaptive, temperature control system, gyro, PID, inertial navigation system
20Gang Quan, Yan Zhang Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real time scheduling, leakage, temperature, feasibility analysis, thermal aware
20Yingjun Guo, Dongfang Cao, Guang Zheng Application of Intelligent Control Techniques for Temperature-Humidity Control in Industrial Workshops. Search on Bibsonomy IITSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intelligent temperature, fuzzy control
20Fengying Xu, Changyou Li, Zhen Chen Computer Simulation of Temperature Characteristics in the Process of Vacuum and Selective FIR Drying for Litchi. Search on Bibsonomy IITSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF litchi, drying, vacuum and selective FIR, simulation, temperature
20Xiaoming Cao, Anming Bao, Lanhai Li A Study of Retrieval Land Surface Temperature and Evapotranspiration in Response to LUCC Based on Remote Sensing Data in Sanggong River. Search on Bibsonomy ESIAT (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF land use/cover change (LUCC), land surface temperature (LST), evapotranspiration (ET), Landsat TM/ETM+
20Mohsen Heydari, Mehran Jahed Prediction of Temperature Distribution and Volume of Lesion During HIFU Therapy. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF HIFU, Bioheat equation, Temperature distribution, Large blood vessel
20Desheng Liu, Zhiru Xu, Qingjun Shi, Jingguo Zhou Fuzzy Immune PID Temperature Control of HVAC Systems. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Immune PID, HVAC, Fuzzy control, Temperature control
20Zhixue Dong, Yila Su, Xiangyong Yan Temperature Control System of the Thermal Analyzer Based on Fuzzy PID Controller. Search on Bibsonomy HIS (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temperature control system, thermal analyzer, fuzzy controller, PID
20Houzhang Tan, Xiaohe Xiong, Haiyu Liu, Xuebin Wang, Erqiang Chen A Fuzzy Appraisement-based Applied Study on High-temperature Corrosion of a Thermal Power Plant. Search on Bibsonomy FSKD (5) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-temperature corrosion, water wall, thermal power plant, Fuzzy analytic hierarchy process
20Lung-Tsai Li, Chin-Fu Tsai, Ming-Shing Young Design of a System with a Multielement Thermopile for Monitoring the Temperature of Indoor Objects. Search on Bibsonomy IIH-MSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF thermopile, temperature, radiation
20Charles C. Castello, Jeffrey Fan, Asad Davari, Ruei-Xi Chen Temperature Control Framework Using Wireless Sensor Networks and Geostatistical Analysis for Total Spatial Awareness. Search on Bibsonomy ISPAN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Intelligent Temperature Control, Geostatistical Analysis, Classical Variography, Ordinary Point Kriging, Wireless Sensor Network, WSN, Context Awareness, Smart Home
20Zeng Feng Numerical Simulation of Temperature Field for Laser Rapid Prototyping. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Laser rapid prototyping, temperature field, computer optimization of process engineering, numerical simulation
20Zhihua Wei, Jing Chen, Jia Li, Guocheng Xu, Jianxun Lang, Bihui Huang Research on Temperature Control System of Ceramic Roller Kiln Based on FCS. Search on Bibsonomy PACIIA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Temperature Control System, Ceramic Roller Kiln, FCS, industrial process
20Jing Chen, Guocheng Xu, Chen Xiao, Youxin Yuan, Kui Xiang, Jianxun Lang Logic Control Algorithm Based on Panboolean Algebra and Its Application for Temperature Control of Ceramic Roller Kiln. Search on Bibsonomy PACIIA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Ceramic Roller Kiln, Panboolean Algebra, Temperature Control, Logic Control
20Eduardo Aldrete-Vidrio, M. Amine Salhi, Josep Altet, Stéphane Grauby, Diego Mateo, H. Michel, L. Clerjaud, Jean-Michel Rampnoux, Antonio Rubio 0001, Wilfrid Claeys, Stefan Dilhaire Using Temperature as Observable of the Frequency Response of RF CMOS Amplifiers. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system debug, analog test, Thermal test, RF test, temperature measurements
20Pau Martí, Álvaro Royuela, Juan Manzano, Guillermo Palau Improvement of Temperature Based ANN Models for ETo Prediction in Coastal Locations by Means of Preliminary Models and Exogenous Data. Search on Bibsonomy HIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ETo prediction, temperature based models, scant data, irrigation, ANN
20Martin Drahanský Experiments with Skin Resistance and Temperature for Liveness Detection. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fake detection, temperature, skin, resistance, finger, biometric system, liveness detection
20Li Shang, Li-Shiuan Peh, Amit Kumar 0002, Niraj K. Jha Temperature-Aware On-Chip Networks. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Temperature-aware, thermal management, thermal modeling, on-chip networks, thermal, simulation framework
20Joakim Arfvidsson, Eric Park, Philip Alexander Levis Lowering radio duty cycle through temperature compensated timing. Search on Bibsonomy SenSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature compensation, sensor networks, time synchronization, clock drift
20Taliver Heath, Ana Paula Centeno, Pradeep George, Luiz E. Ramos, Yogesh Jaluria, Ricardo Bianchini Mercury and freon: temperature emulation and management for server systems. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature modeling, energy conservation, thermal management, server clusters
20Rajarshi Mukherjee, Seda Ogrenci Memik Systematic temperature sensor allocation and placement for microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor, placement, allocation, temperature
20Hao Hua, Christopher Mineo, Kory Schoenfliess, Ambarish M. Sule, Samson Melamed, Ravi Jenkal, W. Rhett Davis Exploring compromises among timing, power and temperature in three-dimensional integrated circuits. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF trade off, design flow, temperature dependency, 3DIC
19Kenneth M. Zick, John P. Hayes On-line sensing for healthier FPGA systems. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF on-line sensing, physically-adaptive computing., fpgas, reliability, process variation, leakage, temperature, dynamic power, ring oscillator, static power, health management
19Yufu Zhang, Bing Shi, Ankur Srivastava 0001 A statistical framework for designing on-chip thermal sensing infrastructure in nano-scale systems. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF estimation, statistical, temperature, sensor placement
19Francisco J. Mesa-Martinez, Ehsan K. Ardestani, Jose Renau Characterizing processor thermal behavior. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF microarchitecture, temperature, thermal simulation
19Wen-Yuan Niu Social Combustion Theory: Dynamics of Social System Deterioration. Search on Bibsonomy Complex (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF social harmony equation(SHE), social temperature, social physics
19Juan José Carrillo, Elkim Roa, José Vieira, Wilhelmus A. M. Van Noije A low-voltage bandgap reference source based on the current-mode technique. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS voltage reference, temperature coefficient, analog circuits, low voltage
19Shuqing Wang, Zipeng Zhang, Zhihuai Xiao, Xiaohui Yuan A Study on Improved Fuzzy Neural Network Controller for Air-Condition with Frequency Change. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Air-condition with frequency change, Room temperature, Genetic algorithm, Optimization control, Fuzzy neural network
19Shengsheng Ruan, Ling Chen 0001, Jie Sun 0003, Gencai Chen Study on the change of physiological signals during playing body-controlled games. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2009 DBLP  DOI  BibTeX  RDF galvanic skin response (GSR), pulse rate, saturation of peripheral oxygen (SpO2), skin temperature, fatigue
19Xiaoming Chen 0003, Yu Wang 0002, Yu Cao 0001, Yuchun Ma, Huazhong Yang Variation-aware supply voltage assignment for minimizing circuit degradation and leakage. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic vdd scaling, leakage power, negative bias temperature instability (NBTI), dual vdd
19Shadi Ebrahimi Asl, Bahman Abolhassani Achieving Secondary Capacity under Interference from a Primary Base Station. Search on Bibsonomy NEW2AN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Underlay transmission, Interference temperature, Jammer suppression, Direct sequence spread spectrum
19Jing Li 0073, Aditya Bansal, Swaroop Ghosh, Kaushik Roy 0001 An alternate design paradigm for low-power, low-cost, testable hybrid systems using scaled LTPS TFTs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-temperature polycrystalline silicon (LTPS), grain boundary (GB), inherent variation, thin-film transistor (TFT), generic, reconfigurable, hybrid system, BIST, DFT, 3D integration
19Yogender Aggarwal, Bhuwan Mohan Karan, Barda Nand Das, Rakesh Kumar Sinha Prediction of Heat-Illness Symptoms with the Prediction of Human Vascular Response in Hot Environment Under Resting Condition. Search on Bibsonomy J. Medical Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Environmental temperature, Forearm blood flow, Physiological variables, Skin blood flow, Vascular responses
19Peijian Zhang, Jianguo Wu, Minrui Fei The Research and Application of Nonlinear Predictive Functional Control Based on Characteristic Models. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Predictive functional control, characteristic model, non-linear temperature control
19Konstantinos Perakis, Maria Haritou, Radovan Stojanovic, Bogdan Asanin, Dimitris Koutsouris Wireless patient monitoring for the e-inclusion of chronic patients and elderly people. Search on Bibsonomy PETRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pulse oxymetry, wireless, monitoring, Bluetooth, ZigBee, ECG, temperature
19Krishnan Sundaresan, Nihar R. Mahapatra Interconnect Signaling and Layout Optimization to Manage Thermal Effects Due to Self Heating in On-Chip Signal Buses. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bus Energy, Self Heating, Wire Permutation, Optimization, Interconnect, Layout, Temperature, On-Chip Bus
19Anies Hannawati Purnamadjaja, R. Andrew Russell Guiding robots' behaviors using pheromone communication. Search on Bibsonomy Auton. Robots The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pheromone communication, Gas sensor temperature, Pheromone discrimination, Odour localization
19Jiayi Liu, Sheqin Dong, Yuchun Ma, Di Long, Xianlong Hong Thermal-driven Symmetry Constraint for Analog Layout with CBL Representation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal-optimal placement, thermal-driven symmetry constraint, analog layout, thermal constraint, hot-spot effect, temperature gradient, symmetrical devices, placement process, geometric symmetry, corner block list, thermal model
19Heather Hanson, Stephen W. Keckler, Soraya Ghiasi, Karthick Rajamani, Freeman L. Rawson III, Juan Rubio 0001 Thermal response to DVFS: analysis with an Intel Pentium M. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal measurement, microprocessor, temperature, DVFS, thermal management
19David E. Duarte, Greg Taylor, Keng L. Wong, Usman Mughal, George L. Geannopoulos Advanced thermal sensing circuit and test techniques used in a high performance 65nm processor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor calibration error, temperature sensing, thermal management, analog design
19Yiran Chen 0001, Hai Li 0001, Jing Li 0073, Cheng-Kok Koh Variable-latency adder (VL-adder): new arithmetic circuit design practice to overcome NBTI. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF variable-latency adder (VL-adder), negative bias temperature instability (NBTI)
19Ravishankar Rao, Sarma B. K. Vrudhula, Chaitali Chakrabarti Throughput of multi-core processors under thermal constraints. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage dependence on temperature, throughput, power, speedup, thermal management, multi-core processors
19Sebastià A. Bota, José Luis Rosselló, Carol de Benito, Ali Keshavarzi, Jaume Segura 0001 Impact of Thermal Gradients on Clock Skew and Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature, clock skew, clock distribution network, interconnect delay
19Steven J. Murdoch Hot or not: revealing hidden services by their clock skew. Search on Bibsonomy CCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF anonymity, fingerprinting, temperature, covert channels, clock skew, mix networks, Tor
19Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir Floorplan driven leakage power aware IP-based SoC design space exploration. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplan, leakage power, temperature
19Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Power density minimization for highly-associative caches in embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, embedded processor, leakage power, temperature
19Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Impact of NBTI on SRAM Read Stability and Design for Reliability. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Static Noise Margin (SNM), Reaction-Diffusion (R-D) Model, Cache, SRAM, Negative Bias Temperature Instability (NBTI)
19Rakesh Vattikonda, Wenping Wang, Yu Cao 0001 Modeling and minimization of PMOS NBTI effect for robust nanometer design. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reliability, variability, temperature, performance degradation, NBTI, threshold voltage
19Diana Marculescu, Emil Talpes Energy Awareness and Uncertainty in Microarchitecture-Level Design. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF gate length, on-chip temperature variations, variability metric, Energy awareness
19Sutapa Chaudhuri, Surajit Chattopadhyay Neuro-computing based short range prediction of some meteorological parameters during the pre-monsoon season. Search on Bibsonomy Soft Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Single layer network, One hidden layer neural net, Severe thunderstorm, Surface temperature, Relative humidity
19Brent Goplen, Sachin S. Sapatnekar Thermal via placement in 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3-D VLSI, thermal gradient, thermal optimization, thermal via, routing, placement, temperature, finite element analysis, 3-D IC
19John Wei, Chris Rowen Implementing low-power configurable processors: practical options and tradeoffs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PVT (process, voltage, temperature), configurable embedded processor, dynamic power efficiency, scaled VDD, low-power, leakage power, SOC (system on chip), dynamic power
19Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon A dual-core 64b ultraSPARC microprocessor for dense server applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability
19Anirban Basu, Sheng-Chih Lin, Vineet Wason, Amit Mehrotra, Kaustav Banerjee Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electrothermal couplings, temperature aware design, subthreshold leakage, energy delay product
19Jindrich Zejda, Paul Frain General framework for removal of clock network pessimism. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock network reconvergence, voltage and temperature delay variation, process, static timing analysis, deep sub-micron
19Kari Kukkonen The Design and Implementation of Electrically Heated Clothing. Search on Bibsonomy ISWC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF electric heating, winter environment, palm top computers, digital temperature sensors, carbon fabric heating panels, microcontrollers, smart clothes
19Samir A. Abd-Elmalak, Chintan Vaishnav, Anura P. Jayasumana Performance of a symmetric robust WDM network when the channel access pattern at nodes is known. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF symmetric robust WDM network, channel access pattern, WDM architectures, fixed wavelength channels, large laser wavelength variations, temperature drifts, manufacturing tolerances, reservation based medium access protocol, token passing based control channel, reservation interval, circuit switched robust WDM network, wavelength division multiplexing, distributed environments, access pattern
19Nagaraj Nandhakumar, Jake K. Aggarwal Integrated Analysis of Thermal and Visual Images for Scene Interpretation. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF IR imaging, scene interpretation, surface temperature, surface absorptivity, relative orientation, heat fluxes, computerised picture processing, computerised picture processing, computerised pattern recognition, computerised pattern recognition, infrared imaging, thermal images, visual images
18Jacoba E. Smit, Tania Hanekom, Johan J. Hanekom Modelled temperature-dependent excitability behaviour of a single ranvier node for a human peripheral sensory nerve fibre. Search on Bibsonomy Biol. Cybern. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hodgkin-Huxley model, Ionic membrane currents, Myelinated nerve fibre, Slow activating potassium current, Computational model
18Wei Huang 0004, Kevin Skadron, Sudhanva Gurumurthi, Robert J. Ribando, Mircea R. Stan Differentiating the roles of IR measurement and simulation for power and temperature-aware design. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Mutsuhiro Nakashige, Minoru Kobayashi, Yuriko Suzuki, Hidekazu Tamaki, Suguru Higashino "Hiya-Atsu" media: augmenting digital media with temperature. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sense of warmth, thermal device, thermal media, haptics
18Vyas Krishnan, Srinivas Katkoori Simultaneous Peak Temperature and Average Power Minimization during Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Hongyan Li, Yibing Gai, Zhiqiang He 0001, Kai Niu 0001, Weiling Wu Optimal Power Control Game Algorithm for Cognitive Radio Networks with Multiple Interference Temperature Limits. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng Temperature-Aware Voltage Selection for Energy Optimization. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 16443 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license