The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Tsung-En Lee, Kasidit Toprasertpong, Mitsuru Takenaka, Shinichi Takagi Characterization of Slow Traps in SiGe MOS Interfaces by TiN/Y2O3 Gate Stacks. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Heung-Kook Ko, Sena Park, Jihyun Ryu, Sung Ryul Kim, Giwon Lee, Dongjoon Lee, Sangwoo Pae, Euncheol Lee, Yongsun Ji, Hai Jiang 0005, Taeyoung Jeong, Taiki Uemura, Dongkyun Kwon, Hyungrok Do, Hyungu Kahng, Yoon-Sang Cho, Jiyoon Lee, Seoung Bum Kim Early Diagnosis and Prediction of Wafer Quality Using Machine Learning on sub-10nm Logic Technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xavier Federspiel, Cheikh Diouf, Florian Cacho, Emmanuel Vincent 0004 Comparison of variability of HCI induced drift for SiON and HKMG devices. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lyuan Xu, Jingchen Cao, Shi-Jie Wen, Rita Fung, Jamie Markevitch, Dennis R. Ball, Bharat L. Bhuva High-Current State triggered by Operating-Frequency Change. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Peter C. Paliwoda, Mohamed A. Rabie, Oscar D. Restrepo, Eduardo Cruz Silva, E. Kaltalioglu, Fernando Guarin, Kenneth Barnett, Jeffrey Johnson, William Taylor, Myra Boenke, Byoung Min Thermal Characterization and TCAD Modeling of a Power Amplifier in 45RFSOI for 5G mmWave Applications. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xin Ju, Diing Shenp Ang Gate-Oxide Trapping Enabled Synaptic Logic Transistor. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Elias Reese The Role of RF Operational Life Testing in Evaluating III-V Devices Addressing RF Through Millimeter-wave Applications. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yingzhe Wang, Xuefeng Zheng, Jiaduo Zhu, Shengrui Xu, Xiaohua Ma, Jincheng Zhang, Yue Hao, Linlin Xu, Jiangnan Dai, Peixian Li Evolution of Defect in AlGaN-based Deep Ultraviolet Light Emitting Diodes During Electrical Stress. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nilotpal Choudhury, Uma Sharma, Huimei Zhou, Richard G. Southwick, Miaomiao Wang 0006, Souvik Mahapatra Analysis of BTI, SHE Induced BTI and HCD Under Full VG/VD Space in GAA Nano-Sheet N and P FETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chieh Roger Lo, Teng-Hao Yeh, Wei-Chen Chen, Hang-Ting Lue, Keh-Chung Wang, Chih-Yuan Lu, Yao-Wen Chang, Yung-Hsiang Chen, Chu-Yung Liu Study of the Walk-Out Effect of Junction Breakdown Instability of the High-Voltage Depletion-Mode N-Channel MOSFET for NAND Flash Peripheral Device and an Efficient Layout Solution. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tommaso Zanotti, Francesco Maria Puglisi, Paolo Pavan Circuit Reliability Analysis of RRAM-based Logic-in-Memory Crossbar Architectures Including Line Parasitic Effects, Variability, and Random Telegraph Noise. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yang Wang, Chen Wang, Tao Chen 0003, Hao Liu, Chinte Kuo, Ke Zhou, Binfeng Yin, Lin Chen, Qing-Qing Sun Front-plane and Back-plane Bias Temperature Instability of 22 nm Gate-last FDSOI MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Huimei Zhou, Miaomiao Wang 0006, Jingyun Zhang, Koji Watanabe, Curtis Durfee, Shogo Mochizuki, Ruqiang Bao, Richard G. Southwick, Maruf Bhuiyan, Basker Veeraraghavan NBTI Impact of Surface Orientation in Stacked Gate-All-Around Nanosheet Transistor. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shanmuganathan Palanisamy, Josef Lutz, R. Boldyrjew-Mast, Thomas Basler Thermomechanical behaviour of inverse diode in SiC MOSFETs under surge current stress. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhiqing Li, Baofu Zhu, Anindya Nath, Meng Miao, Alain Loiseau, You Li, Jeffrey B. Johnson, Souvick Mitra, Robert Gauthier 0002 Understanding ESD Induced Thermal Mechanism in FinFETs Through Predictive TCAD Simulation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wang Liao, Kojiro Ito, Yukio Mitsuyama, Masanori Hashimoto Characterizing Energetic Dependence of Low-Energy Neutron-induced MCUs in 65 nm bulk SRAMs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Enrico Zanoni, Matteo Meneghini, Gaudenzio Meneghesso, Fabiana Rampazzo, Daniele Marcon, Veronica Gao Zhan, Francesca Chiocchetta, Andreas Graff, Frank Altmann, Michél Simon-Najasek, David Poppitz Reliability Physics of GaN HEMT Microwave Devices: The Age of Scaling. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Subrat Mishra, Pieter Weckx, Ji-Yung Lin, Ben Kaczer, Dimitri Linten, Alessio Spessot, Francky Catthoor Fast & Accurate Methodology for Aging Incorporation in Circuits using Adaptive Waveform Splitting (AWS). Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Laiqiang Luo, Kalya Shubhakar, Sen Mei, Nagarajan Raghavan, Fan Zhang, Danny Shum, Kin Leong Pey Reliability and Breakdown Study of Erase Gate Oxide in Split-Gate Non-Volatile Memory Device. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dmitry Veksler, Gennadi Bersuker, Adam W. Bushmaker, Maribeth Mason, P. R. Shrestha, Kin P. Cheung, Jason P. Campbell, Thomas Rueckes, Lee Cleveland, Harry Luan, David C. Gilmer Memory update characteristics of carbon nanotube memristors (NRAM®) under circuitry-relevant operation conditions. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Oren Zonensain, Roman Rechter, Robert Kwasnick, Keun-Woo Park, Anisur Rahman, Almog Reshef, Tal Raz, Maxim Levit Advanced methods for CPU product reliability modeling and enhancement. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Martina Gerlach, Peter Seidel, Josef Lutz Specific aspects regarding evaluation of power cycling tests with SiC devices. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kuo-Hsuan Meng A Method to Analyze Aging Effect on ESD Protection Design. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kuilong Yu, Xiaojuan Zhu, Rui Fang, Tingting Ma, Kun Han, Zhongyi Xia Hybrid HCI Degradation in Sub-micron NMOSFET due to Mixed Back-end Process Damages. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rui Gao, Mehzabeen Mehedi, Haibao Chen, Xinsheng Wang, Jianfu Zhang 0001, Xiaoling Lin, Zhiyuan He, Yiqiang Chen, Dengyun Lei, Yun Huang, Yunfei En, Zhigang Ji, Runsheng Wang A fast and test-proven methodology of assessing RTN/fluctuation on deeply scaled nano pMOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shin-ichiro Abe, Tatsuhiko Sato, Junya Kuroda, Seiya Manabe, Yukinobu Watanabe, Wang Liao, Kojiro Ito, Masanori Hashimoto, Masahide Harada, Kenichi Oikawa, Yasuhiro Miyake Impact of Hydrided and Non-Hydrided Materials Near Transistors on Neutron-Induced Single Event Upsets. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dongyoung Kim, Adam J. Morgan, Nick Yun, Woongje Sung, Anant Agarwal, Robert Kaplar Non-Isothermal Simulations to Optimize SiC MOSFETs for Enhanced Short-Circuit Ruggedness. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yao-Feng Chang, James A. O'Donnell, Tony Acosta, Roza Kotlyar, Albert B. Chen, Pedro A. Quintero, Nathan Strutt, Oleg Golonzka, Chris Connor, Jeff Hicks eNVM RRAM reliability performance and modeling in 22FFL FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Thomas Aichinger, Matthias Schmidt Gate-oxide reliability and failure-rate reduction of industrial SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wen Yang, Jiann-Shiun Yuan, Balakrishnan Krishnan, An-Jye Tzou, Wen-Kuan Yeh Substrate Bias Effect on Dynamic Characteristics of a Monolithically Integrated GaN Half-Bridge. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sebastian Maaß, Hans Reisinger, Thomas Aichinger, Gerald Rescher Influence of high-voltage gate-oxide pulses on the BTI behavior of SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Weishen Chu, Laura Spinella, Dwayne R. Shirley, Paul S. Ho Effects of Wiring Density and Pillar Structure on Chip Package Interaction for Advanced Cu Low-k Chips. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wriddhi Chakraborty, Uma Sharma, Suman Datta, Souvik Mahapatra Hot Carrier Degradation in Cryo-CMOS. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tae Young Lee, Kazutaka Yamane, Lee Yong Hau, Robin Chao, Nyuk Leong Chung, Vinayak Bharat Naik, K. Sivabalan, Jae Hyun Kwon, Jia Hao Lim, Wah-Peng Neo, Kevin Khua, Naganivetha Thiyagarajah, Suk Hee Jang, Behtash Behin-Aein, Eng-Huat Toh, Yuichi Otani, Dinggui Zeng, Nivetha Balasankaran, Lian Choo Goh, Timothy Ling, Jay Hwang, Lei Zhang, Rachel Low, Soon Leng Tan, Chim Seng Seet, Jia Wen Ting, Stanley Ong, Young Seon You, Swee Tuck Woo, Elgin Quek, Soh Yun Siah Magnetic Immunity Guideline for Embedded MRAM Reliability to Realize Mass Production. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Minsu Kim, Jeehwan Song, Chris H. Kim Reliability Characterization of Logic-Compatible NAND Flash Memory based Synapses with 3-bit per Cell Weights and 1μA Current Steps. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chao-Yang Ke, Ming-Dou Ker Over-Voltage Protection on the CC Pin of USB Type-C Interface against Electrical Overstress Events. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Adrian Vaisman Chasin, Jacopo Franco, Erik Bury, Romain Ritzenthaler, Eugenio Dentoni Litta, Alessio Spessot, Naoto Horiguchi, Dimitri Linten, Ben Kaczer Relevance of fin dimensions and high-pressure anneals on hot-carrier degradation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Artsiom Balakir, Alan Yang, Elyse Rosenbaum An Interpretable Predictive Model for Early Detection of Hardware Failure. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1M. H. Hsieh, W. S. Chiang, Harry H. Chen, M. Z. Lin, M. J. Lin Comprehensive Quality and Reliability Management for Automotive Product. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wen Yang, Nicholas Stoll, Jiann-Shiun Yuan ESD Robustness of GaN-on-Si Power Devices under Substrate Biases by means of TLP/VFTLP Tests. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Siddarth Sundaresan, Vamsi Mulpuri, Jaehoon Park, Ranbir Singh Reliability and Robustness Performance of 1200 V SiC DMOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Fabrizio Masin, Matteo Meneghini, Eleonora Canato, Alessandro Barbato, Carlo De Santi, Arno Stockman, Abhishek Banerjee 0003, Peter Moens, Enrico Zanoni, Gaudenzio Meneghesso Charge Trapping and Stability of E-Mode p-gate GaN HEMTs Under Soft- and Hard- Switching Conditions. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lyuan Xu, Jingchen Cao, John Brockman, Carlo Cazzaniga, Christopher Frost 0002, Shi-Jie Wen, Rita Fung, Bharat L. Bhuva Thermal Neutron Induced Soft Errors in 7-nm Bulk FinFET Node. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Marina Yamaguchi, Shosuke Fujii, Kensuke Ota, Masumi Saitoh Breakdown Lifetime Analysis of HfO2-based Ferroelectric Tunnel Junction (FTJ) Memory for In-Memory Reinforcement Learning. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Clyde Dunn, John MacPeak, Sean Bo, Brian Kirkpatrick, Brian Horning, Tad Grider, Corey O'Brien, Steve Heinrich-Barna, Armando Vigil, Jon Nafziger, Lyndon Preiss, Kelly DeShields, Viktor Markov, JinHo Kim, Nhan Do, Alexander Kotov Program Disturb Mechanism in Embedded SuperFlash® Technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Thong Tran, Sudheer Reddy Gundala, Komal Soni, Aaron Baker, Adam Fogle, Sandhya Chandrashekhar No Trouble Found (NTF) Customer Return Analysis. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1P. Srinivasan 0002, Paul Colestock, Thomas Samuels, Stephen Moss, Fernando Guarin, Byoung Min A novel methodology to evaluate RF reliability for SOI CMOS-based Power Amplifier mmWave applications. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rosana Rodríguez, Albert Crespo-Yepes, Javier Martín-Martínez, Montserrat Nafría, Xavier Aragonès, Diego Mateo, Enrique Barajas Experimental Monitoring of Aging in CMOS RF Linear Power Amplifiers: Correlation Between Device and Circuit Degradation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kyong Jin Hwang, Sagar Premnath Karalkar, Vishal Ganesan, Sevashanmugam Marimuthu, Alban Zaka, Tom Herrmann, Bhoopendra Singh, Robert Gauthier 0002 Design Optimization of MV-NMOS for ESD Self-protection in 28nm CMOS technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dong Ji, Burcu Ercan, Garret Benson, A. K. M. Newaz, Srabanti Chowdhury Robust avalanche in GaN leading to record performance in avalanche photodiode. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Juan Bautista Roldán, David Maldonado, Francisco Jiménez-Molinos, Christian Acal, Juan Eloy Ruiz-Castro, Ana M. Aguilera, Fei Hui, J. Kong, Y. Shi, Xu Jing, Chao Wen, Marco Antonio Villena, Mario Lanza Reversible dielectric breakdown in h-BN stacks: a statistical study of the switching voltages. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jordan Locati, Vincenzo Della Marca, Christian Rivero, Arnaud Régnier, Stephan Niel, Karine Coulié AC stress reliability study of a new high voltage transistor for logic memory circuits. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jae-Gyung Ahn, Ping-Chin Yeh, Jonathan Chang Estimation of Product Reliability using TDDB Simulation and Statistical EM Method. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wafa Arfaoui, Germain Bossu, A. Muehlhoff, D. Lipp, R. Manuwald, T. Chen, Tanya Nigam, Mahesh Siddabathula A Novel HCI Reliability Model for RF/mmWave Applications in FDSOI Technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Trang Le Dinh Dang, Trinh Dinh Linh, Ngyuen Thanh Dat, Changhong Min, Jinsang Kim, Ik-Joon Chang, Jin-Woo Han Comparing Variation-tolerance and SEU/TID-Resilience of Three SRAM Cells in 28nm FD-SOI Technology: 6T, Quatro, and we-Quatro. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ansh, Gaurav Sheoran, Jeevesh Kumar, Mayank Shrivastava First Insights into Electro-Thermal Stress Driven Time-Dependent Permanent Degradation Failure of CVD Monolayer MoS2 Channel. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alexander Grill, Erik Bury, Jakob Michl, Stanislav Tyaginov, Dimitri Linten, Tibor Grasser, Bertrand Parvais, Ben Kaczer, Michael Waltl, Iuliana P. Radu Reliability and Variability of Advanced CMOS Devices at Cryogenic Temperatures. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Andrea Natale Tallarico, Susanna Reggiani, Riccardo Depetro, Giuseppe Croce, Enrico Sangiorgi, Claudio Fiegna Full Understanding of Hot Electrons and Hot/Cold Holes in the Degradation of p-channel Power LDMOS Transistors. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Simon Van Beek, Barry J. O'Sullivan, Sebastien Couet, Davide Crotti, Dimitri Linten, Gouri Sankar Kar Understanding and empirical fitting the breakdown of MgO in end-of-line annealed MTJs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1W. Rhett Davis, Colin Shaw, Ahmed Ramadan Hassan How to write a compact reliability model with the Open Model Interface (OMI). Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Premachandran CS, Salvatore Cimino, Manjunatha Prabhu Efficient Bidirectional protection structure for Plasma induced damage (PID) and Electrostatic discharge (ESD) for 3D IC Integration. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nilotpal Choudhury, Narendra Parihar, Souvik Mahapatra Analysis of The Hole Trapping Detrapping Component of NBTI Over Extended Temperature Range. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chen-Yi Su, Mark Armstrong, Sunny Chugh, Mohammed El-tanani, Hannes Greve, Hai Li, Mahjabin Maksud, Benjamin Orr, Christopher Perini, James Palmer, Leif Paulson, Stephen Ramey, James Waldemer, Yang Yang, Dave Young Reliability Characterization for 12 V Application Using the 22FFL FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bahar Ajdari, Samwel Sekwao, Ricardo Ascázubi, Adam Neale, Norbert Seifert On the Correlation of Laser-induced and High-Energy Proton Beam-induced Single Event Latchup. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Maurits J. de Jong, Cora Salm, Jurriaan Schmitz Effect of Ambient on the Recovery of Hot-Carrier Degraded Devices. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mauro Ciappa, Marco Pocaterra Measurement of the Pre-Breakdown Characteristics in Silicon Carbide Power Devices by the Use of Radioactive Gamma Sources. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1David Maldonado, Juan Bautista Roldán, Andrés M. Roldán, Francisco Jiménez-Molinos, Fei Hui, Y. Shi, Xu Jing, Chao Wen, Mario Lanza Influence of the magnetic field on dielectric breakdown in memristors based on h-BN stacks. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1James P. Ashton, Patrick M. Lenahan, Daniel J. Lichtenwalner, Aivars J. Lelis Leakage Currents and E' Centers in 4H-SiC MOSFETs with Barium Passivation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Stanislav Tyaginov, Alexander Grill, Michiel Vandemaele, Tibor Grasser, Geert Hellings, Alexander Makarov, Markus Jech, Dimitri Linten, Ben Kaczer A Compact Physics Analytical Model for Hot-Carrier Degradation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hang-Ting Lue, Po-Kai Hsu, Keh-Chung Wang, Chih-Yuan Lu Introduction of Non-Volatile Computing In Memory (nvCIM) by 3D NAND Flash for Inference Accelerator of Deep Neural Network (DNN) and the Read Disturb Reliability Evaluation : (Invited Paper). Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jun-Kyo Jeong, Jae-Young Sung, Hee-Hun Yang, Hi-Deok Lee, Ga-Won Lee 0001 Reliability Analysis by Charge Migration of 3D SONOS Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Peter Friedrichs Ruggedness of SiC devices under extreme conditions. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Paul Colestock, P. Srinivasan 0002, Fernando Guarin Silicon Based RF Reliability Challenges for 5G Communications. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Naohito Suzumura, Kazuyuki Omori, Hideaki Tsuchiya, Hideki Aono, Tomohiro Yamashita Impact of Anode-side Defect Generation on Inter-Level TDDB Degradation in Cu/Low-k Damascene Structures. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Victor M. van Santen, Simon Thomann, Chaitanya Pasupuleti, Paul R. Genssler, Narendra Gangwar, Uma Sharma, Jörg Henkel, Souvik Mahapatra, Hussam Amrouch BTI and HCD Degradation in a Complete 32 × 64 bit SRAM Array - including Sense Amplifiers and Write Drivers - under Processor Activity. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rui Zhang 0048, Zhaocheng Liu, Kexin Yang 0001, Taizhi Liu, Wenshan Cai, Linda Milor Inverse Design of FinFET SRAM Cells. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Md. Mehedi Hasan, Md Raquibuzzaman, Indranil Chatterjee, Biswajit Ray Radiation Tolerance of 3-D NAND Flash Based Neuromorphic Computing System. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tobias Kemmer, Michael Dammann, Martina Baeumler, Vladimir Polyakov, Peter Brückner, Helmer Konstanzer, Rüdiger Quay, Oliver Ambacher Failure Analysis of 100 nm AlGaN/GaN HEMTs Stressed under On- and Off-State Stress. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jyotika Athavale, Andrea Baldovin, Michael Paulitsch Trends and Functional Safety Certification Strategies for Advanced Railway Automation Systems. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kuan-Ting Chen, C. Lo, Y.-Y. Lin, C.-Y. Chueh, C. Chang, G.-Y. Siang, Y.-J. Tseng, Y.-J. Yang, F.-C. Hsieh, S.-H. Chang, H. Liang, S.-H. Chiang, J.-H. Liu, Y.-D. Lin, P.-C. Yeh, C.-Y. Wang, H.-Y. Yang, P.-J. Tzeng, M.-H. Liao, Shu-Tong Chang, Y.-Y. Tseng, Min-Hung Lee Double Layers Omega FETs with Ferroelectric HfZrO2 for One-Transistor Memory. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Betting Wehring, Raik Hoffmann, Lukas Gerlich, Malte Czernohorsky, Benjamin Uhlig, Robert Seidel, Tobias Barchewitz, Frank Schlaphof, Lutz Meinshausen, Christoph Leyens BEoL Reliability, XPS and REELS Study on low-k Dielectrics to understand Breakdown Mechanisms. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Olalla Varela Pedreira, Michele Stucchi, Anshul Gupta, Victor Vega-Gonzalez, Marleen van der Veen, Stephane Lariviere, Christopher J. Wilson, Zsolt Tökei, Kristof Croes Metal reliability mechanisms in Ruthenium interconnects. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Harumi Seki, Yasushi Nakasaki, Yuichiro Mitani Further Investigation on Mechanism of Trap Level Modulation in Silicon Nitride Films by Fluorine Incorporation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ruizhe Zhang 0003, Joseph P. Kozak, Jingcun Liu, Ming Xiao, Yuhao Zhang Surge Energy Robustness of GaN Gate Injection Transistors. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nagothu Karmel Kranthi, Boeila Sampath Kumar, Akram A. Salman, Gianluca Boselli, Mayank Shrivastava Design Insights to Address Low Current ESD Failure and Power Scalability Issues in High Voltage LDMOS-SCR Devices. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Clement Huang, Alex Juan, K. C. Su Stress Induced Voiding Behavior of Electroplated Copper Thin Films in Highly Scaled Cu/low-k interconnects. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Don A. Gajewski Challenges and Peculiarities in Developing New Standards for SiC. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rakesh Ranjan, Charles B. LaRow, Ki-Don Lee, Minhyo Kang, Pavitra R. Perepa, Md. Shahriar Rahman, Bong Ki Lee, David Moreau, Carolyn Cariss-Daniels, Timothy Basford, Colby Callahan, Maihan Nguyen, Gil Heyun Choi, Hyunchul Sagong, HwaSung Rhee Trap Density Modulation for IO FinFET NBTI Improvement. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Robin Wuytens, Sybren Santermans, Mihir Gupta, Bert Du Bois, Simone Severi, Liesbet Lagae, Wim Van Roy, Koen M. Martens Two-Regime Drift in Electrolytically Gated FETs and BioFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kai Ni 0004, Aniket Gupta, Om Prakash 0007, Simon Thomann, Xiaobo Sharon Hu, Hussam Amrouch Impact of Extrinsic Variation Sources on the Device-to-Device Variation in Ferroelectric FET. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Krishnaswamy Ramkumar, Venkatraman Prabhakar, Vineet Agrawal, Long Hinh, Swatilekha Saha, Santanu Kumar Samanta, Ravindra M. Kapre Reliability Aspects of SONOS Based Analog Memory for Neuromorphic Computing. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tibor Grasser, Ben Kaczer, Barry J. O'Sullivan, Gerhard Rzepa, Bernhard Stampfer, Michael Waltl The Mysterious Bipolar Bias Temperature Stress from the Perspective of Gate-Sided Hydrogen Release. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yen-Pu Chen, Bikram Kishore Mahajan, Dhanoop Varghese, Srikanth Krishnan, Vijay Reddy, Muhammad Ashraful Alam A Novel 'I-V Spectroscopy' Technique to Deconvolve Threshold Voltage and Mobility Degradation in LDMOS Transistors. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1 2020 IEEE International Reliability Physics Symposium, IRPS 2020, Dallas, TX, USA, April 28 - May 30, 2020 Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  BibTeX  RDF
1Wonbo Shim, Yandong Luo, Jae-sun Seo, Shimeng Yu Impact of Read Disturb on Multilevel RRAM based Inference Engine: Experiments and Model Prediction. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Andreas Martin 0002, Angelika Kamp A New Implementation Approach for Reliability Design Rules against Plasma Induced Charging Damage from Well Configurations of Complex ICs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alok Ranjan 0001, Sean J. O'Shea, Michel Bosman, J. Molina, Nagarajan Raghavan, Kin Leong Pey Correlation of Dielectric Breakdown and Nanoscale Adhesion in Silicon Dioxide Thin Films. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hyeong-Sub Song, Sunil Babu Eadi, Hyun-Dong Song, Hyun-Woong Choi, Ga-Won Lee 0001, Hi-Deok Lee Investigation of Random Telegraph Noise Characteristics with Intentional Hot Carrier Aging. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Joseph P. Kozak, Ruizhe Zhang 0003, Jingcun Liu, Khai D. T. Ngo, Yuhao Zhang Physics of Degradation in SiC MOSFETs Stressed by Overvoltage and Overcurrent Switching. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Koichiro Okamoto, Ryusuke Nebashi, Naoki Banno, Xu Bai, Hideaki Numata, Noriyuki Iguchi, Makoto Miyamura, Hiromitsu Hada, Kazunori Funahashi, Tadahiko Sugibayashi, Toshitsugu Sakamoto, Munehiro Tada ON-state retention of Atom Switch eNVM for IoT/AI Inference Solution. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license