The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Suboh A. Suboh, Mohamed Bakhouya, Tarek A. El-Ghazawi Simulation and Evaluation of On-Chip Interconnect Architectures: 2D Mesh, Spidergon, and WK-Recursive Network. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System on Chip, Network on Chip, Modeling and simulation, On Chip Interconnects
22Akiko Mineyama, Hiroyuki Ito, Takahiro Ishii, Kenichi Okada, Kazuya Masu LVDS-type on-chip transmision line interconnect with passive equalizers in 90nm CMOS process. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Haile Yu FPGA interconnect sizing using extended logical effort model. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Hongbo Zeng, Jun Wang, Ge Zhang 0007, Weiwu Hu An interconnect-aware power efficient cache coherence protocol for CMPs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Partha Pratim Pande, Amlan Ganguly, Benjamin Belzer, Alireza Nojeh, André Ivanov Novel interconnect infrastructures for massive multicore chips - an overview. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Jingye Xu, Abinash Roy, Masud H. Chowdhury Optimization technique for flip-flop inserted global interconnect. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Ethiopia Nigussie, Juha Plosila, Jouni Isoaho Area efficient delay-insensitive and differential current sensing on-chip interconnect. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Alexander D. Rast, Shufan Yang, Muhammad Mukaram Khan, Stephen B. Furber Virtual synaptic interconnect using an asynchronous network-on-chip. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Terrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk Global interconnections in FPGAs: modeling and performance analysis. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, throughput, interconnection, wave-pipelined
22Zhijian Lu, Wei Huang 0004, Mircea R. Stan, Kevin Skadron, John C. Lach Interconnect Lifetime Prediction for Reliability-Aware Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22James D. Ma, Rob A. Rutenbar Interval-Valued Reduced-Order Statistical Interconnect Modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Wendemagegnehu T. Beyene Application of Artificial Neural Networks to Statistical Analysis and Nonlinear Modeling of High-Speed Interconnect Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Martin Saint-Laurent A Model for Interlevel Coupling Noise in Multilevel Interconnect Structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Stephan Bourduas, Zeljko Zilic A Hybrid Ring/Mesh Interconnect for Network-on-Chip Using Hierarchical Rings for Global Routing. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Dimitrios N. Serpanos, Wayne H. Wolf VLSI models of network-on-chip interconnect. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Yasunao Katayama, Atsuya Okazaki Optical Interconnect Opportunities for Future Server Memory Systems. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Nancy Ying Zhou, Zhuo Li 0001, Yuxin Tian, Weiping Shi, Frank Liu 0001 A New Methodology for Interconnect Parasitics Extraction Considering Photo-Lithography Effects. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Xiaojun Yang, Dongdong Wu, Ninghui Sun Design of NIC Based on I/O Processor for Cluster Interconnect Network. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Gayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones Interconnect Customization for a Coarse-grained Reconfigurable Fabric. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Fan Yang 0001, Xuan Zeng 0001, Yangfeng Su, Dian Zhou RLCSYN: RLC Equivalent Circuit Synthesis for Structure-Preserved Reduced-order Model of Interconnect. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ling Zhang, Hongyu Chen, Bo Yao, Kevin Hamilton, Chung-Kuan Cheng Repeated On-Chip Interconnect Analysis and Evaluation of Delay, Power, and Bandwidth Metrics under Different Design Goals. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Mosin Mondal, Tamer Ragheb, Xiang Wu, Adnan Aziz, Yehia Massoud Provisioning On-Chip Networks under Buffered RC Interconnect Delay Variations. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Jud Leonard, Avi Purkayastha, Matt Reilly, Tushar Mohan The software interface for a cluster interconnect based on the Kautz digraph. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22I-Jye Lin, Tsui-Yee Ling, Yao-Wen Chang Statistical circuit optimization considering device andinterconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate and wire sizing, statistical optimization
22Shuhei Amakawa, Takumi Uezono, Takashi Sato, Kenichi Okada, Kazuya Masu Adaptable wire-length distribution with tunable occupation probability. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wire-length distribution, rent's rule
22Pyoungwoo Min, Hyunbean Yi, Jaehoon Song, Sanghyeon Baeg, Sungju Park Efficient Interconnect Test Patterns for Crosstalk and Static Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jack R. Smith, Tian Xia, Charles E. Stroud An Automated BIST Architecture for Testing and Diagnosing FPGA Interconnect Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stuck-at faults, bridging faults, delay faults
22Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Non-gaussian statistical interconnect timing analysis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Akira Tsuchiya, Masanori Hashimoto, Hidetoshi Onodera Interconnect RL extraction at a single representative frequency. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Kenta Yamada, Noriaki Oda Statistical corner conditions of interconnect delay (corner LPE specifications). Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ahmad Khonsari, Mohamed Ould-Khaoua, Abbas Nayebi, Hamid Sarbazi-Azad The impacts of timing constraints on virtual channels multiplexing in interconnect networks. Search on Bibsonomy IPCCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Rod Fatoohi, Subhash Saini, Robert Ciotti Interconnect performance evaluation of SGI Altix 3700 BX2, Cray XI, Cray Opteron Cluster, and Dell PowerEdge. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Xiaoji Ye, Peng Li 0001, Frank Liu 0001 Practical variation-aware interconnect delay and slew analysis for statistical timing verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Vasilis F. Pavlidis, Eby G. Friedman Via placement for minimum interconnect delay in three-dimensional (3D) circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yuichi Tanji, Takayuki Watanabe, Hidemasa Kubota, Hideki Asai Quasi-One-Step Gauss-Jacobi Method for Large-Scale Interconnect Analysis via RLCG-MNA Formulation. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Laureline David, Stephane Martin, Corinne Cregut, Eric Balossier, Frederic Nyer, Fabrice Huret Pre-Layout Inductive Corners for Advanced Digital Design Interconnect: Modeling and Silicon Validation. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Simon Hollis, Simon W. Moore An Asynchronous Interconnect Architecture for Device Security Enhancement. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Liqun Cheng, Naveen Muralimanohar, Karthik Ramani, Rajeev Balasubramonian, John B. Carter Interconnect-Aware Coherence Protocols for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Dharin Shah, Kothamasu Siva, G. Girishankar, N. S. Nagaraj Optimizing Interconnect for Performance in Standard Cell Library. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Abinash Roy, Masud H. Chowdhury Impacts of Inductance on the Figures of Merit to Optimize Global Interconnect. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry POMR: a power-aware interconnect optimization methodology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Renqiu Huang, Ranga Vemuri Sensitivity Analysis of a Cluster-Based Interconnect Model for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Grzegorz Tosik, Zbigniew Lisik, Malgorzata Langer, Janusz Wozny Simulation of Parasitic Interconnect Capacitance for Present and Future ICs. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Brock J. LaMeres, Sunil P. Khatri Broadband Impedance Matching for Inductive Interconnect in VLSI Packages. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Guoqing Chen, Eby G. Friedman A Fourier series-based RLC interconnect model for periodic signals. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Meigen Shen, Li-Rong Zheng 0001, Esa Tjukanoff, Jouni Isoaho, Hannu Tenhunen Case study of interconnect analysis for standing wave oscillator design. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Partha Pratim Pande, Cristian Grecu, Michael Jones, André Ivanov, Res Saleh Effect of traffic localization on energy dissipation in NoC-based interconnect. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Mohammad S. Sharawi, Daniel N. Aloi An 800 Mbps system interconnect modeling and simulation for high speed computing. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Ahmad Darabiha, Anthony Chan Carusone, Frank R. Kschischang Multi-Gbit/sec low density parity check decoders with reduced interconnect complexity. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Gerald G. Lopez, Giovanni Fiorenza, Thomas J. Bucelot, Phillip J. Restle, Mary Yvonne Lanzerotti Characterization of the impact of interconnect design on the capacitive load driven by a global clock distribution. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF load capacitance, routing, application specific integrated circuit (ASIC), clock, power dissipation
22Vishak Venkatraman, Wayne P. Burleson Robust Multi-Level Current-Mode On-Chip Interconnect Signaling in the Presence of Process Variations. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Atsushi Kurokawa, Toshiki Kanamoto, Tetsuya Ibe, Akira Kasebe, Wei Fong Chang, Tetsuro Kage, Yasuaki Inoue, Hiroo Masuda Dummy Filling Methods for Reducing Interconnect Capacitance and Number of Fills. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Vinita V. Deodhar, Jeffrey A. Davis Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for Wave-Pipelined VLSI Global Interconnect Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Lei Li, Zheng Cao, Mingyu Chen 0001, Jianping Fan 0002 A Reconfigurable Optical Interconnect System for DSAG. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Andrew Lines Asynchronous Interconnect for Synchronous SoC Design. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Arif Ishaq Abou-Seido, Brian Nowak, Chris Chong-Nuen Chu Fitted Elmore delay: a simple and accurate interconnect delay model. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Luca Daniel, Chin Siong Ong, Sok Chay Low, Kwok Hong Lee, Jacob K. White 0001 A multiparameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Xiaoliang Bai, Rajit Chandra, Sujit Dey, P. V. Srinivas Interconnect coupling-aware driver modeling in static noise analysis for nanometer circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Yang Jiangping, Li Guixiang, Wang Wanglei A model of VLSI interconnect test based on boundary scan. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Tsung-Hao Chen, Jeng-Liang Tsai, Tanay Karnik HiSIM: hierarchical interconnect-centric circuit simulator. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Hong Shin Jun, Sung Soo Chung, Sang H. Baeg Removing JTAG Bottlenecks in System Interconnect Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Marghoob Mohiyuddin, Amit Prakash, Adnan Aziz, Wayne H. Wolf Synthesizing interconnect-efficient low density parity check codes. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF error correcting codes, low-density parity-check codes, routing congestion
22James D. Meindl Interconnect Opportunities for Gigascale Integration. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang Noise-aware interconnect power optimization in domino logic synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22M. A. Azadpour, T. S. Kalkur A clock interconnect extractor for multigigahertz frequencies incorporating inductance effect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22M. Addino, Mario R. Casu, Guido Masera, Gianluca Piccinini, Maurizio Zamboni A Block-Based Approach for SoC Global Interconnect Electrical Parameters Characterization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Eugeni Isern 0001, Miquel Roca 0001, Francesc Moll Analysis of the Contribution of Interconnect Effects in the Energy Dissipation of VLSI Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Andrea Lodi 0002, Luca Ciccarelli, Andrea Cappelli, Fabio Campi, Mario Toma Decoder-Based Multi-Context Interconnect Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Parthasarathi Dasgupta, Andrew B. Kahng, Swamy Muddu A Novel Metric for Interconnect Architecture Performance. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Michael Bedford Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Bo Yao The Y-Architecture for On-Chip Interconnect: Analysis and Methodology. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Osvaldo Colavin, Davide Rizzo A scalable wide-issue clustered VLIW with a reconfigurable interconnect. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clustered VLIW, reconfigurable co-processor (RCP), modulo scheduling, IDCT
22Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Noise-constrained interconnect optimization for nanometer technologies. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Tianxu Zhao, Xuchao Duan, Yue Hao, Peijun Ma Reliability Estimation Model of ICs Interconnect Based on Uniform Distribution of Defects on a Chip. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection lifetime, electromigration effect, defect
22Takashi Sato, Hiroo Masuda Design and Measurement of an Inductance-Oscillator for Analyzing Inductance Impact on On-Chip Interconnect Delay. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Chung-Seok (Andy) Seo, Abhijit Chatterjee Free-Space Optical Interconnect for High-Performance MCM Systems. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Rajesh Kumar 0006 Interconnect and noise immunity design for the Pentium 4 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang Estimation of wirelength reduction for lambda-geometry vs. manhattan placement and routing. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-geometry routing, ?-geometry-driven placement, wirelength reduction estimation
22Joni Dambre, Dirk Stroobandt, Jan Van Campenhout Fast estimation of the partitioning rent characteristic using a recursive partitioning model. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori wire length prediction, recursive circuit partitioning, graph bipartitioning
22Alan E. Charlesworth The Sun Fireplane Interconnect. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Jason Cong, David Zhigang Pan Wire width planning for interconnect performance optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Stuart McCracken, Zeljko Zilic FPGA test time reduction through a novel interconnect testing scheme. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Hongyu Chen, Bo Yao, Feng Zhou, Chung-Kuan Cheng Physical Planning Of On-Chip Interconnect Architectures. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Arif Ishaq Abou-Seido, Brian Nowak, Chris C. N. Chu Fitted Elmore Delay: A Simple and Accurate Interconnect Delay Model. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Charles E. Stroud, Jeremy Nall, Matthew Lashinsky, Miron Abramovici BIST-Based Diagnosis of FPGA Interconnect. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Hans Eberle, Nils Gura Separated high-bandwidth and low-latency communication in the cluster interconnect Clint. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Yuanyuan Yang 0001, Jianchao Wang WDM Optical Interconnect Architectures Under Two Connection Models. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optical inter-connects, permutation, network architectures, Wavelength-division-multiplexing (WDM), wavelength conversion
22Steven L. Teig The X architecture: not your father's diagonal wiring. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Interconnect sizing and spacing with consideration of couplingcapacitance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Probir Sarkar, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centricfloorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Eun Jung Kim 0001, Ki Hwan Yum, Chita R. Das Calculation of Deadline Missing Probability in a QoS Capable Cluster Interconnect. Search on Bibsonomy NCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22W. J. Bainbridge, Stephen B. Furber Delay Insensitive System-on-Chip Interconnect using 1-of-4 Data Encoding. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Doug Hale, Thomas J. Overbye Optimization and Visualization of the North American Eastern Interconnect Power Market. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF electric restructuring, OPF, power system visualization, power markets
22Alan E. Charlesworth The sun fireplane system interconnect. Search on Bibsonomy SC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration of field-programmable gate arrays. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 3-D integrated circuits, FPGA, system-level modeling, wire-length
22Sek M. Chai, Tarek M. Taha, D. Scott Wills, James D. Meindl Heterogeneous architecture models for interconnect-motivated system design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Markus Fischer 0001, Ulrich Brüning 0001, Jörg Kluge, Lars Rzymianowicz, Patrick R. Schulz, Mathias Waack ATOLL, a New Switched, High Speed Interconnect in Comparison to Myrinet and SCI. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl Minimum power and area n-tier multilevel interconnect architectures using optimal repeater insertion. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang Noise-aware power optimization for on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Jason Cong, David Zhigang Pan Interconnect Delay Estimation Models for Synthesis and Design Planning. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license