The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subthreshold with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (20) 1995-1998 (15) 1999-2000 (19) 2001 (25) 2002 (23) 2003 (26) 2004 (41) 2005 (53) 2006 (76) 2007 (76) 2008 (62) 2009 (59) 2010 (46) 2011 (40) 2012 (47) 2013 (38) 2014 (36) 2015 (42) 2016 (42) 2017 (42) 2018 (42) 2019 (43) 2020 (36) 2021 (27) 2022 (27) 2023 (27) 2024 (4)
Publication types (Num. hits)
article(472) incollection(7) inproceedings(551) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 310 occurrences of 197 keywords

Results
Found 1034 publication records. Showing 1034 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Xiaoxia Wu, Feng Wang 0004, Yuan Xie 0001 Analysis of Subthreshold Finfet Circuits for Ultra-Low Power Design. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Multifunction Subthreshold CMOS Gates. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ryota Kobayashi, Shigeru Shinomoto Predicting spike times from subthreshold dynamics of a neuron. Search on Bibsonomy NIPS The full citation details ... 2006 DBLP  BibTeX  RDF
15Nikhil Jayakumar, Rajesh Garg, Bruce Gamache, Sunil P. Khatri A PLA based asynchronous micropipelining approach for subthreshold circuit design. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF micro-pipelining, asynchronous, PLA, sub-threshold
15Alice Wang, Anantha P. Chandrakasan A 180-mV subthreshold FFT processor using a minimum energy design methodology. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Alice Wang, Anantha P. Chandrakasan Modeling and sizing for minimum energy operation in subthreshold circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Xuedong Zhang, Laurel H. Carney Response Properties of an Integrate-and-Fire Model That Receives Subthreshold Inputs. Search on Bibsonomy Neural Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ofer Melamed, Gilad Silberberg, Henry Markram, Wulfram Gerstner, Magnus J. E. Richardson Subthreshold cross-correlations between cortical neurons: A reference model with static synapses. Search on Bibsonomy Neurocomputing The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Francisco Serra-Graells, José Luis Huertas Low-Voltage CMOS subthreshold log-domain filtering. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Aimad El Mourabit, Guo-Neng Lu, Patrick Pittet Wide-Linear-Range Subthreshold OTA for Low-Power, Low-Voltage, and Low-Frequency Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Aimad El Mourabit, Guo-Neng Lu, Patrick Pittet A low-frequency, sub 1.5-V micropower Gm-C filter based on subthreshold MIFG MOS transistors. Search on Bibsonomy ESSCIRC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Nikhil Jayakumar, Sunil P. Khatri A variation tolerant subthreshold design approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF variation-toleran, self-adjusting, body-biasing, sub-threshold
15Francisco Serra-Graells, Lluís Gómez, José Luis Huertas A true-1-V 300-μW CMOS-subthreshold log-domain hearing-aid-on-chip. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Siva G. Narendra, Vivek De, Shekhar Borkar, Dimitri A. Antoniadis, Anantha P. Chandrakasan Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-μm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Rajeev R. Rao, Ashish Srivastava, David T. Blaauw, Dennis Sylvester Statistical analysis of subthreshold leakage current for VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Zhuoqin Yang, Qishao Lu, Huaguang Gu, Wei Ren Gwn-Induced bursting, Spiking, and Random subthreshold Impulsing oscillation before Hopf bifurcations in the Chay Model. Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Giancarlo La Camera, Walter Senn, Stefano Fusi Comparison between networks of conductance- and current-driven neurons: stationary spike rates and subthreshold depolarization. Search on Bibsonomy Neurocomputing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15T. K. Chiang A two-dimensional analytical subthreshold behavior model for short-channel AlGaAs/GaAs HFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Brigitte H. Boudreau, Kevin B. Englehart, Adrian D. C. Chan, Philip A. Parker Reduction of stimulus artifact in somatosensory evoked potentials: segmented versus subthreshold training. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Manoj Bikumandla, Jaime Ramírez-Angulo, Carlos Urquidi, Ramón González Carvajal, Antonio J. López-Martín Biasing CMOS amplifiers using MOS transistors in subthreshold region. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Carlo Dallavalle Adaptive Subthreshold Leakage Reduction Through N/P Wells Reverse Biasing. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Aimad El Mourabit, Patrick Pittet, Guo-Neng Lu A wide-linear range subthreshold OTA based on FGMOS transistor. Search on Bibsonomy ICECS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Alice Wang, Anantha P. Chandrakasan Device sizing for minimum energy operation in subthreshold circuits. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Volkan Kursun, Eby G. Friedman Energy efficient dual threshold voltage dynamic circuits employing sleep switches to minimize subthreshold leakage. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
15Young-Jun Lee, Jihyun Lee, Yong-Bin Kim, Joseph Ayers, Alexander Volkovskii, Allen I. Selverston, Henry D. I. Abarbanel, Mikhail I. Rabinovich Low power real time electronic neuron VLSI design using subthreshold technique. Search on Bibsonomy ISCAS (4) The full citation details ... 2004 DBLP  BibTeX  RDF
15Gianluca Giustolisi, Gaetano Palumbo, M. Criscione, F. Cutri A low-voltage low-power voltage reference based on subthreshold MOSFETs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Michele Migliore On the Integration of Subthreshold Inputs from Perforant Path and Schaffer Collaterals in Hippocampal CA1 Pyramidal Neurons. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Sven Bestmann, Jürgen Baudewig, Hartwig R. Siebner, John C. Rothwell, Jens Frahm Subthreshold high-frequency TMS of human primary motor cortex modulates interconnected frontal motor areas as detected by interleaved fMRI-TMS. Search on Bibsonomy NeuroImage The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Michael Rudolph 0002, Alain Destexhe Characterization of Subthreshold Voltage Fluctuations in Neuronal Membranes. Search on Bibsonomy Neural Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Tetsuya Asai, Yusuke Kanazawa, Yoshihito Amemiya A subthreshold MOS neuron circuit based on the Volterra system. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Jaime Ramírez-Angulo, Chandrika Durbha, Gladys Omayra Ducoudray, Ramón González Carvajal Highly Linear Wide Input Range CMOS OTA Architectures Operating in Subthreshold and Strong Inversion. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
15Arifur Rahman Models for Full-Chip Power Dissipation in Field Programmable Gate Arrays and the Impact of Subthreshold Leakage Current. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
15Gary B. Levy, William Evans, John Ebner, Patrick Farrell, Mike Hufford, Bryan H. Allison, David Wheeler, Haiqing Lin, Olivier Prache, Eric Naviasky An 852×600 pixel OLED-on-silicon color microdisplay using CMOS subthreshold-voltage-scaling current drivers. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Koichi Nose, Masayuki Hirabayashi, Hiroshi Kawaguchi 0001, Seongsoo Lee, Takayasu Sakurai VTH-hopping scheme to reduce subthreshold leakage for low-power processors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Juin J. Liou, R. Shireen, Adelmo Ortiz-Conde, Francisco J. García-Sánchez, Antonio Cerdeira, Xiaofang Gao, Xuecheng Zou, Ching-Sung Ho Influence of polysilicon-gate depletion on the subthreshold behavior of submicron MOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Dorra Sellami Masmoudi, Amadou Tidjane Dieng, Mohamed Masmoudi A subthreshold mode programmable implementation of the Gaussian function for RBF neural networks applications. Search on Bibsonomy ISIC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Christian K. Machens, Michael Wehr, Anthony M. Zador Spectro-Temporal Receptive Fields of Subthreshold Responses in Auditory Cortex. Search on Bibsonomy NIPS The full citation details ... 2002 DBLP  BibTeX  RDF
15Ru Huang, Weihai Bu, Xing Zhang 0002, Yangyuan Wang Quasi-two-dimensional subthreshold current model of deep submicrometer SOI drive-in gate controlled hybrid transistors with lateral non-uniform doping profile. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Kirsten E. I. Deurloo, Jan Holsheimer, Piet Bergveld The effect of subthreshold prepulses on the recruitment order in a nerve trunk analyzed in a simple and a realistic volume conductor model. Search on Bibsonomy Biol. Cybern. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Ludovic Alvado, Jean Tomas, Sylvie Renaud-Le Masson, Vincent Douence Design of an analogue ASIC using subthreshold CMOS transistors to model biological neurons. Search on Bibsonomy CICC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Francisco Serra-Graells All-MOS subthreshold log filters. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15James T. Kao Subthreshold leakage control techniques for low power digital circuits. Search on Bibsonomy 2001   RDF
15Peter N. Steinmetz, Amit Manwani, Christof Koch, Michael London, Idan Segev Subthreshold Voltage Noise Due to Channel Fluctuations in Active Neuronal Membranes. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Tetsuya Asai, Tomoki Fukai, Shigeru Tanaka A subthreshold MOS circuit for the Lotka-Volterra neural network producing the winners-share-all solution. Search on Bibsonomy Neural Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Rimon Ikeno, Hiroshi Ito, Kunihiro Asada One-Dimensional Analysis of Subthreshold Characteristics of SOI-MOSFET Considering Quantum Mechanical Effects. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15G. Meinhardt, U. Mortensen Detection of aperiodic test patterns by pattern specific detectors revealed by subthreshold summation. Search on Bibsonomy Biol. Cybern. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Matthew G. Fishler The transient far-field response of a discontinuous one-dimensional cardiac fiber to subthreshold stimuli. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Gillian F. Marshall, Steve Collins Fuzzy logic architecture using subthreshold analogue floating-gate devices. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15André Longtin, Karin Hinzer Encoding with bursting, subthreshold oscillations, and noise in mammalian cold receptors. Search on Bibsonomy Neural Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Tomoki Fukai Competition in the temporal domain among neural activities phase-locked to subthreshold oscillations. Search on Bibsonomy Biol. Cybern. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Dominique Coué, George Wilson A four-quadrant subthreshold mode multiplier for analog neural-network applications. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Takeshi Sakata, Kiyoo Itoh 0001, Masashi Horiguchi, Masakazu Aoki Two-dimensional power-line selection scheme for low subthreshold-current multi-gigabit DRAM's. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Takeshi Sakata, Kiyoo Itoh 0001, Masashi Horiguchi, Masakazu Aoki Subthreshold-current reduction circuits for multi-gigabit DRAM's. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Shanti S. Vedula, Fathi M. A. Salam, Gamze Erten Subthreshold Analog Circuit for Computing the Maximum Principal Component of 3-D Data. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Kewei Yang 0003, Richard C. Meitzler, Andreas G. Andreou A Model for MOS Effective Channel Mobility with Emphasis in the Subthreshold and Transition Region. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15L. Song, Mohamed I. Elmasry, Anthony Vannelli Analog neural network building blocks based on current mode subthreshold operation. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
15Andreas G. Andreou, Kwabena A. Boahen, Philippe O. Pouliquen, Aleksandra Pavasovic, Robert E. Jenkins, Kim Strohbehn Current-mode subthreshold MOS circuits for analog VLSI neural systems. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Philip C. Chan, R. Liu, S. K. Lau, Mario Pinto-Guedes A Subthreshold Conduction Model for Circuit Simulation of Submicron MOSFET. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
10Yukihiro Nonaka, Hatsuo Hayashi Spike-Timing-Dependent LTP/LTD Caused by Uncorrelated Signals through Medial and Lateral Perforant Pathways in the Dentate Granule Cell. Search on Bibsonomy Brain-Inspired Information Technology The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
10Xuebei Yang, Jyotsna Chauhan, Jing Guo, Kartik Mohanram Graphene tunneling FET and its applications in low-power circuit design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF graphene nanoribbons, tunneling fets, low-power
10Sreeharsha Tavva, Dhireesha Kudithipudi Variation tolerant 9T SRAM cell design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bitline leakage, static random access memory (SRAM), process variations, static noise margin, embedded sram
10Xiaochen Guo, Engin Ipek, Tolga Soyata Resistive computation: avoiding the power wall with low-leakage, STT-MRAM based computing. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF STT-MRAM, power-efficiency
10Shigeru Kubota, Kosuke Hamaguchi, Kazuyuki Aihara Local excitation solutions in one-dimensional neural fields by external input stimuli. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Local excitation, Neuroscience, Pattern formation, Neural field
10Naofumi Katada, Haruhiko Nishimura Stochastic Resonance in Recurrent Neural Network with Hopfield-Type Memory. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hopfield-type memory, Neural network, Noise, Stochastic
10Prateek Mishra, Anish Muttreja, Niraj K. Jha Low-power FinFET circuit synthesis using multiple supply and threshold voltages. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low-power, linear programming, synthesis, TCMS
10Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Trade-off analysis between timing error rate and power dissipation for adaptive speed control with timing error prediction. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Kiyoo Itoh 0001 Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet
10Bao Liu 0001 Adaptive voltage controlled nanoelectronic addressing for yield, accuracy and resolution. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos, Priyadarsan Patra A PVT aware accurate statistical logic library for high- metal-gate nano-CMOS. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Ji-Hye Bong, Yong-Jin Kwon, Kyeong-Sik Min, Sung-Mo Kang New word-line driving scheme for suppressing oxide-tunneling leakage in sub-65-nm SRAMs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Bradford E. Peercy Initiation and propagation of a neuronal intracellular calcium wave. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Evanescent calcium wave, Calcium signaling, Hippocampal CA1 pyramidal cell, Mathematical model
10Behnam Amelifard, Farzan Fallah, Massoud Pedram Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jie Gu 0003, John Keane 0001, Sachin S. Sapatnekar, Chris H. Kim Statistical Leakage Estimation of Double Gate FinFET Devices Considering the Width Quantization Property. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Chua-Chin Wang, Chi-Chun Huang, Ching-Li Lee, Tsai-Wen Cheng A Low Power High-Speed 8-Bit Pipelining CLA Design Using Dual-Threshold Voltage Domino Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry Input Vector Reordering for Leakage Power Reduction in FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Sudhakar Muddu, Puneet Sharma Defocus-Aware Leakage Estimation and Control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jing Li 0073, Aditya Bansal, Swaroop Ghosh, Kaushik Roy 0001 An alternate design paradigm for low-power, low-cost, testable hybrid systems using scaled LTPS TFTs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-temperature polycrystalline silicon (LTPS), grain boundary (GB), inherent variation, thin-film transistor (TFT), generic, reconfigurable, hybrid system, BIST, DFT, 3D integration
10Jonathan Touboul, Romain Brette Dynamics and bifurcations of the adaptive exponential integrate-and-fire model. Search on Bibsonomy Biol. Cybern. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dynamical systems, Chaos, Bifurcations, Integrate-and-fire, Spiking neuron models
10Tomasz Borejko, Witold A. Pleskacz A Resistorless Voltage Reference Source for 90 nm CMOS Technology with Low Sensitivity to Process and Temperature Variations. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Baozhen Chen, Chengwu Tao, Sumarlin William, Santosh Pandey Biochemical sensing of charged polyelectrolytes with a novel CMOS floating-gate device architecture. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hushrav Mogal, Kia Bazargan Thermal-aware floorplanning for task migration enabled active sub-threshold leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Manuel Carrasco-Robles, Luis Serrano A novel CMOS current mode fully differential tanh (x) implementation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Roger Dura, Fabrice Mathieu, Liviu Nicu, Francesc Pérez-Murano, Francisco Serra-Graells A 0.35µm 1.25V piezo-resistance digital ROIC for liquid dispensing MEMS. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sameer Somvanshi, Santhosh Kasavajjala A low power sub-1 V CMOS voltage reference. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Paulo F. Butzen, Leomar S. da Rosa Jr., Erasmo J. D. Chiappetta Filho, Dionatan S. Moura, André Inácio Reis, Renato P. Ribas Simple and accurate method for fast static currentestimation in cmos complex gates with interaction ofleakage mechanisms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF leakage estimation, logic design, cmos gates
10Joseph F. Ryan 0002, Benton H. Calhoun Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-Threshold Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sub-threshold Circuits, Sub-Vt, Sense-Amplifiers, Variation, Offset
10Yajie Chen, Liam McDaid, Steve Hall, Peter M. Kelly A programmable facilitating synapse device. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yuanlin Lu, Vishwani D. Agrawal Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Juan Gao, Philip Holmes On the dynamics of electrically-coupled neurons with inhibitory synapses. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Bifurcation diagrams, Electrical coupling, Inhibitory synapses, Integrate-and-fire models, Poincaré maps
10Hongliang Chang, Sachin S. Sapatnekar Prediction of leakage power under process uncertainties. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, Circuit
10Jonathan E. Rubin, Martin Wechselberger Giant squid-hidden canard: the 3D geometry of the Hodgkin-Huxley model. Search on Bibsonomy Biol. Cybern. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Christoph Rasche Neuromorphic Excitable Maps for Visual Processing. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Cosmin Popa Low-Voltage Low-Power Curvature-Corrected Voltage Reference Circuit Using DTMOSTs. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Hushrav Mogal, Kia Bazargan Microarchitecture floorplanning for sub-threshold leakage reduction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Minh Quang Do, Per Larsson-Edefors, Mindaugas Drazdziulis High-Accuracy Architecture-Level Power Estimation for Partitioned SRAM Arrays in a 65-nm CMOS BPTM Process. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Xavier Redondo, Jofre Pallares, Francisco Serra-Graells A 1.2V 130µA 10-bit MOS-Only Log-Domain Sigma Delta Modulator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Kyung Ki Kim, Yong-Bin Kim Optimal Body Biasing for Minimum Leakage Power in Standby Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Balaji Jayaraman, Navakanta Bhat High Precision 16-bit Readout Gas Sensor Interface in 0.13µm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Chiu-Hsien Chan, Jack Wills, Jeff LaCoss, John J. Granacki, John Choma Jr. A Novel Variable-Gain Micro-Power Band-Pass Auto-Zeroing CMOS Amplifier. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Pablo Ituero, José L. Ayala, Marisa López-Vallejo Leakage-based On-Chip Thermal Sensor for CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1034 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license