The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Xiaodong Yang, Walter H. Ku, Chung-Kuan Cheng RLC interconnect delay estimation via moments of amplitude and phase response. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Dirk Herrmann, Rolf Ernst Improved interconnect sharing by identity operation insertion. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Jing-Rebecca Li, Jacob K. White 0001 Efficient model reduction of interconnect via approximate system gramians. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF truncated balanced realization, vector ADI, model reduction, Krylov subspace, Lyapunov equation
22Janet Meiling Wang, Ernest S. Kuh, Qingjian Yu The Chebyshev expansion based passive model for distributed interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Jason Cong, Tianming Kong, David Zhigang Pan Buffer block planning for interconnect-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Mattan Kamon, Steve McCormick, Ken Sheperd Interconnect parasitic extraction in the digital IC design methodology. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Ninglong Lu, Ibrahim N. Hajj A reduced-order scheme for coupled lumped-distributed interconnect simulation. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Kevin T. Tang, Eby G. Friedman Peak noise prediction in loosely coupled interconnect [VLSI circuits]. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Frank M. G. Dorenberg, Huesung Kim, Arun K. Somani The Effect of Interconnect Schemes on the Dependability of a Modular Multi-Processor System with Shared Resources. Search on Bibsonomy PRDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Noel Menezes, Chung-Ping Chen Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Rui Escadas Martins, Wolfgang Pyka, Rainer Sabelka, Siegfried Selberherr High-precision interconnect analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Jeff Larson The HAL Interconnect PCI Card. Search on Bibsonomy CANPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22S. Grout, G. Ledenbach, R. G. Bushroe, P. Fisher, Donald Cottrell, David Mallis, S. DasGupta, Joseph Morrell, Amrich Chokhavtia CHDStd - application support for reusable hierarchical interconnect timing views. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Charles E. Stroud, Sajitha Wijesuriya, Carter Hamilton, Miron Abramovici Built-in self-test of FPGA interconnect. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Yehia Massoud, Steve S. Majors, Tareq Bustami, Jacob K. White 0001 Layout Techniques for Minimizing On-Chip Interconnect Self Inductance. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Mike Chou, Jacob K. White 0001 Efficient formulation and model-order reduction for the transient simulation of three-dimensional VLSI interconnect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Ibrahim M. Elfadel, David D. Ling Zeros and Passivity of Arnoldi-Reduced-Order Models for Interconnect Networks. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Keith Nabors, Tze-Ting Fang, Hung-Wen Chang, Kenneth S. Kundert Lumped Interconnect Models Via Gaussian Quadrature. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Weiping Shi, W. Kent Fuchs Optimal interconnect diagnosis of wiring networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Eli Chiprout, Michel S. Nakhla Analysis of interconnect networks using complex frequency hopping (CFH). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Janusz A. Starzyk Hierarchical analysis of high frequency interconnect networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Bradly J. Cooke, John L. Prince, Andreas C. Cangellaris S-parameter analysis of multiconductor, integrated circuit interconnect systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Neeraj Suri, Avi Mendelson, Dhiraj K. Pradhan BDG-torus union graph-an efficient algorithmically specializedparallel interconnect. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Leon Stok Interconnect optimisation during data path allocation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Maciej J. Ciesielski Layer assignment for VLSI interconnect delay minimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Qiang Xu 0001, Yubin Zhang, Krishnendu Chakrabarty SOC test-architecture optimization for the testing of embedded cores and signal-integrity faults on core-external interconnects. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Core-based system-on-chip, test scheduling, test-access mechanism (TAM), interconnect testing
22Martin Thuresson, Magnus Själander, Magnus Björk, Lars J. Svensson, Per Larsson-Edefors, Per Stenström FlexCore: Utilizing Exposed Datapath Control for Efficient Computing. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Reconfigurable, Computer architecture, Interconnect, Flexible
22Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel A Flexible Framework for Communication Evaluation in SoC Design. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnect simulator, Network on chip, Trace based simulation, Multiprocessor simulator
22M.-C. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong, Glenn Reinman RF interconnects for communications on-chip. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RF-interconnect, network-on-chip, chip multiprocessors
22Venkata Krishnan Evaluation of an Integrated PCI Express IO Expansion and Clustering Fabric. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IO Expansion, clustering, interconnect, sockets, PCI Express
22Luis A. Plana, Stephen B. Furber, Steve Temple, Muhammad Mukaram Khan, Yebin Shi, Jian Wu, Shufan Yang A GALS Infrastructure for a Massively Parallel Multiprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF massively parallel multiprocessor, Spinnaker, self-timed interconnect, GALS, neural modeling
22Andrew Over, Bill Clarke, Peter E. Strazdins A Comparison of Two Approaches to Parallel Simulation of Multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF speedup analysis, Sparc Sulima, UltraSPARC IIICu-based multiprocessor systems, careful locking, simulation time quantum, serial simulation, load-balancing, parallel simulation, parallel discrete event simulation, interconnect model, NAS parallel benchmarks
22Antonio Robles-Gómez, Aurelio Bermúdez, Rafael Casado, Francisco J. Quiles 0001, Tor Skeie A distributed approach to handle topological changes in advanced switching. Search on Bibsonomy PM2HW2N The full citation details ... 2007 DBLP  DOI  BibTeX  RDF advanced switching interconnect, performance evaluation, network management, network reconfiguration, network availability
22Gajinder Panesar, Daniel Towner, Andrew Duller, Alan Gray, Will Robbins Deterministic Parallel Processing. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hetrogeneous, wireless, interconnect, Deterministic
22Xiangdong Xuan, Adit D. Singh, Abhijit Chatterjee Lifetime Prediction and Design-for-Reliability of IC Interconnections with Electromigration Induced Degradation in the Presence of Manufacturing Defects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IC reliability, reliability simulation, design for reliability, interconnect, electromigration, defect modeling
22Yuantao Peng, Xun Liu Low-power repeater insertion with both delay and slew rate constraints. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion, slew rate
22Yibo Wang, Yici Cai, Xianlong Hong A Fast Buffered Routing Tree Construction Algorithm under Accurate Delay Model. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF accurate delay model, obstacle-aware routing, buffer insertion, interconnect optimization
22Yuantao Peng, Xun Liu Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion
22Yuantao Peng, Xun Liu Power macromodeling of global interconnects considering practical repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, interconnect, macromodeling, repeater insertion
22Vikas Chandra, Herman Schmit Simultaneous Optimization of Driving Buffer and Routing Switch Sizes in an FPGA using an Iso-Area Approach. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA Interconnect
22Davide Bertozzi, Luca Benini, Bruno Riccò Parametric timing and power macromodels for high level simulation of low-swing interconnects. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay, interconnect, power, macromodel, low-swing
22Amir H. Farrahi, David J. Hathaway, Maogang Wang, Majid Sarrafzadeh Quality of EDA CAD Tools: Definitions, Metrics and Directions. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Design Quality Metrics, Integrated Design Exploration, Incremental Synthesis, Layout-Driven Synthesis' System-Level Interconnect Prediction and Planning, Tool Interoperability, Deep Submicron Designs
22Zhiping Yu, Dan Yergeau, Robert W. Dutton, O. Sam Nakagawa, Norman Chang, Shen Lin, Weize Xie Full Chip Thermal Simulation. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF full chip, interconnect, SOI, thermal simulation
22Mitsuo Ishii Cluster Technologies for High Performance Computing. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cluster, interconnect
22Chris C. N. Chu, D. F. Wong 0001 A Polynomial Time Optimal Algorithm for Simultaneous Buffer and Wire Sizing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Simultaneous buffer and wire sizing, buffer sizing, interconnect optimization, wire sizing
22V. Chandramouli, Karem A. Sakallah, Ayman I. Kayssi Signal Delay in Coupled, Distributed RC Lines in the Presence of Temporal Proximity. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Coupled interconnects, Distributed lines, Proximity effects, Interconnect delay, Moment matching
22Jatan C. Shah, Sachin S. Sapatnekar Wiresizing with Buffer Placement and Sizing for Power-Delay Tradeoffs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, dynamic programming, Interconnect, sensitivity, buffer, sizing, repeaters, drivers
22Noel Menezes, Ross Baldick, Lawrence T. Pileggi A sequential quadratic programming approach to concurrent gate and wire sizing. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RC interconnect, optimization, sequential quadratic programming
22Tong Liu 0007, Fabrizio Lombardi, José Salinas Diagnosis of interconnects and FPICs using a structured walking-1 approach. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field programmable interconnect chips, structured walking-1 approach, boundary scan architectures, one-step test generation, two-step test generation, fault diagnosis, integrated circuit testing, diagnosis, automatic testing, boundary scan testing, interconnects testing, integrated circuit interconnections
21Scott Beamer, Chen Sun 0003, Yong-Jin Kwon, Ajay Joshi, Christopher Batten, Vladimir Stojanovic, Krste Asanovic Re-architecting DRAM memory systems with monolithically integrated silicon photonics. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dram architecture, energy-efficiency, silicon photonics
21Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, Niraj K. Jha GARNET: A detailed on-chip network model inside a full-system simulator. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Nancy Ying Zhou, Rouwaida Kanj, Kanak Agarwal, Zhuo Li 0001, Rajiv V. Joshi, Sani R. Nassif, Weiping Shi The impact of BEOL lithography effects on the SRAM cell performance and yield. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Aditya P. Karmarkar, Xiaopeng Xu, Victor Moroz, Greg Rollins, Xiao Lin Analysis of performance and reliability trade-off in dummy pattern design for 32-nm technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Lei Li, Zheng Cao, Mingyu Chen 0001, Jianping Fan 0002 Design and Evaluation of Optical Bus in High Performance Computer. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Kees Goossens, Bart Vermeulen, Remco van Steeden, Martijn T. Bennebroek Transaction-Based Communication-Centric Debug. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Narender Hanchate, Nagarajan Ranganathan Integrated Gate and Wire Sizing at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Basab Datta, Wayne P. Burleson Low power on-chip thermal sensors based on wires. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mingjie Lin, Abbas El Gamal A routing fabric for monolithically stacked 3D-FPGA. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 3D monolithically stacked, FPGA, performance analysis, routing architecture
21Ray Simar The Changing Impact of Semiconductor Technology on Processor Architecture. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ilya Levin, Benjamin Abramov, Vladimir Ostrovsky Reduction of Fault Latency in Sequential Circuits by using Decomposition. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ahmed Youssef, Tor Myklebust, Mohab Anis, Mohamed I. Elmasry A Low-Power Multi-Pin Maze Routing Methodology. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Nikil D. Dutt, Kaustav Banerjee, Luca Benini, Kanishka Lahiri, Sudeep Pasricha Tutorial 5: SoC Communication Architectures: Technology, Current Practice, Research, and Trends. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Venkata Krishnan Towards an integrated IO and clustering solution using PCI express. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mehdi Baradaran Tahoori Application-Dependent Testing of FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Tudor Murgan, Oliver Mitea, Sujan Pandey, Petru Bogdan Bacinschi, Manfred Glesner Simultaneous Placement and Buffer Planning for Reduction of Power Consumption in Interconnects and Repeaters. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Taskin Koçak, Jacob Engel Performance evaluation of wormhole routed network processor-memory interconnects. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Vinita V. Deodhar, Jeffrey A. Davis Optimization of throughput performance for low-power VLSI interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Amir H. Ajami, Kaustav Banerjee, Massoud Pedram Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Rajeev Balasubramonian, Naveen Muralimanohar, Karthik Ramani, Venkatanand Venkatachalapathy Microarchitectural Wire Management for Performance and Power in Partitioned Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ajoy Kumar Palit, Lei Wu, Kishore K. Duganapalli, Walter Anheier, Jürgen Schlöffel A New, Flexible and Very Accurate Crosstalk Fault Model to Analyze the Effects of Coupling Noise between the Interconnects on Signal Integrity Losses in Deep Submicron Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crosstalk model, aggressor-victim, ABCD-model, crosstalk-hazards, signal integrity
21Anand Ganti, Thomas Tarman, Jason Wertz Supercomputing interconnects. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  BibTeX  RDF
21Somsubhra Mondal, Seda Ogrenci Memik A low power FPGA routing architecture. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Lacina M. Coulibaly, H. J. Kadim Analytical crosstalk noise and its induced-delay estimation for distributed RLC interconnects under ramp excitation. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Rakesh Kumar 0002, Victor V. Zyuban, Dean M. Tullsen Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Baris Guler, Ramesh Radhakrishnan, Ronald Pepper Performance Effects of Interrupt Throttle Rate on Linux Clusters using Intel Gigabit Network Adapters. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21James M. Baker Jr., Brian T. Gold, Mark Bucciero, Sidney Bennett, Rajneesh Mahajan, Priyadarshini Ramachandran, Jignesh Shah SCMP: A Single-Chip Message-Passing Parallel Computer. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF single-chip parallel computers, parallel architecture, high-performance computing, computer architecture, embedded computing
21Dimitrios Velenis, Eby G. Friedman Buffer Sizing for Crosstalk Induced Delay Uncertainty. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Nicholas Weaver, John R. Hauser, John Wawrzynek The SFRA: a corner-turn FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA CAD, FPGA design study, FPGA optimization, FPGA architecture
21Ruiming Chen, Hai Zhou 0001 A Flexible Data Structure for Efficient Buffer Insertion. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Madhubanti Mukherjee, Ranga Vemuri Simultaneous Scheduling, Binding and Layer Assignment for Synthesis of Vertically Integrated 3D Systems. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Quming Zhou, Kartik Mohanram Analysis of delay caused by bridging faults in RLC interconnects. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Crosstalk Noise Analysis in Ultra Deep Submicrometer Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Christian Kurmann, Felix Rauch, Thomas Stricker Cost/Performance Tradeoffs in Network Interconnects for Clusters of Commodity PCs. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Clusters of commodity PCs, switch performance, full bisection bandwidth, Ethernet, Myrinet, all-to-all communication, application performance
21Partha Pratim Pande, Cristian Grecu, André Ivanov, Res Saleh Design of a switch for network on chip applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Daniel Moritz Using the Open Library Architecture (OLA) Open Source API in Heterogeneous Design Flows (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Duane S. Boning, Joseph Panganiban, Karen Gonzalez-Valentin, Sani R. Nassif, Chandler McDowell, Anne E. Gattiker, Frank Liu 0001 Test structures for delay variability. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Yehea I. Ismail, Eby G. Friedman Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Dennis Sylvester, Kurt Keutzer A global wiring paradigm for deep submicron design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Jian Liang, Sriram Swaminathan, Russell Tessier aSOC: A Scalable, Single-Chip Communications Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Bruno Franzini, Cristiano Forzan, Davide Pandini, Primo Scandolara, Alessandro Dal Fabbro Crosstalk Aware Static Timing Analysis: A Two Step Approach. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Jeegar Tilak Shah, Madhav P. Desai, Sugata Sanyal Inductance Characterization of Small Interconnects Using Test-Signal Method. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test-Signal Injection Method, Differential Circuit, Short Interconnects, Self and Mutual-Inductance, High Frequency Test Signal, Displacement Current, Lumped Package Models, Inductance, Characterization, Transmission Lines, Capacitance, Substrate
21Yehea I. Ismail, Eby G. Friedman, José Luis Neves Figures of merit to characterize the importance of on-chip inductance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Atul Garg, Y. L. Le Coz, Hans J. Greub, R. B. Iverson, Robert F. Philhower, Pete M. Campbell, Cliff A. Maier, Sam A. Steidl, Matthew W. Ernest, Russell P. Kraft, Steven R. Carlough, J. W. Perry, Thomas W. Krawczyk Jr., John F. McDonald 0001 Accurate high-speed performance prediction for full differential current-mode logic: the effect of dielectric anisotropy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Chris C. N. Chu, Martin D. F. Wong An efficient and optimal algorithm for simultaneous buffer and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Li-Rong Zheng 0001, Hannu Tenhunen Noise Margin Constraints for Interconnectivity in Deep Submicron Low Power and Mixed-Signal VLSI Circuits. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mixed-Signal VLSI, Interconnection, Crosstalk, Noise Margin
21Yehea I. Ismail, Eby G. Friedman, José Luis Neves Figures of Merit to Characterize the Importance of On-Chip Inductance. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jason Cong, Patrick H. Madden Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
21Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt A unified lower bound estimation technique for high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21C. P. Ravikumar, R. Aggarwal, C. Sharma A Graph-Theoretic Approach for Register File Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Reetuparna Das, Soumya Eachempati, Asit K. Mishra, Narayanan Vijaykrishnan, Chita R. Das Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license