The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1989 (19) 1990-1991 (15) 1992 (16) 1993-1994 (54) 1995 (28) 1996 (38) 1997 (32) 1998 (30) 1999 (53) 2000 (92) 2001 (101) 2002 (169) 2003 (179) 2004 (184) 2005 (242) 2006 (264) 2007 (233) 2008 (212) 2009 (148) 2010 (100) 2011 (88) 2012 (79) 2013 (98) 2014 (94) 2015 (119) 2016 (98) 2017 (105) 2018 (88) 2019 (97) 2020 (78) 2021 (77) 2022 (57) 2023 (60) 2024 (10)
Publication types (Num. hits)
article(909) book(4) incollection(4) inproceedings(2380) phdthesis(37) proceedings(23)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1863 occurrences of 889 keywords

Results
Found 3357 publication records. Showing 3357 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Hao Qi, Liuyao Dai, Weicong Chen, Zhen Jia, Xiaoyi Lu Performance Characterization of Large Language Models on High-Speed Interconnects. Search on Bibsonomy HOTI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Chaoqi Zhang 0001, Paragkumar Thadesar, Muneeb Zia, Thomas E. Sarvey, Muhannad S. Bakir Au-NiW Mechanically Flexible Interconnects (MFIs) and TSV integration for 3D interconnects. Search on Bibsonomy 3DIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
25Hong Li, Wen-Yan Yin, Junfa Mao Comments on "Modeling of Metallic Carbon-Nanotube Interconnects for Circuit Simulations and a Comparison With Cu Interconnects for Sealed Technologies". Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Marshnil Vipin Dave, Rajkumar Satkuri, Mahavir Jain, Maryam Shojaei Baghini, Dinesh Kumar Sharma Low-power current-mode transceiver for on-chip bidirectional buses. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF current-mode signaling, driver pre-emphasis, interconnects
22Ruzica Jevtic, Carlos Carreras, Vukasin Pejovic Floorplan-based FPGA interconnect power estimation in DSP circuits. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, low power, interconnects, power estimation
22Suboh A. Suboh, Mohamed Bakhouya, Jaafar Gaber, Tarek A. El-Ghazawi An interconnection architecture for network-on-chip systems. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Switching and routing, Network on chip, Network analysis, Modeling and simulation, On-chip interconnects
22Suboh A. Suboh, Mohamed Bakhouya, Tarek A. El-Ghazawi Simulation and Evaluation of On-Chip Interconnect Architectures: 2D Mesh, Spidergon, and WK-Recursive Network. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System on Chip, Network on Chip, Modeling and simulation, On Chip Interconnects
22Shoaib Kamil 0001, Ali Pinar, Daniel K. Gunter, Michael Lijewski, Leonid Oliker, John Shalf Reconfigurable hybrid interconnection for static and dynamic scientific applications. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hybrid interconnects, adaptive mesh refinement, feasibility, petascale
22Syed Suhaib, Deepak Mathaikutty, David Berner, Sandeep K. Shukla Validating Families of Latency Insensitive Protocols. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF long interconnects, latency insensitive protocols, splitter, verification framework, Simulation, formal verification, merger, relay station
22Kaustav Banerjee, Navin Srivastava Are carbon nanotubes the future of VLSI interconnections? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLSI, interconnects, carbon nanotubes
22Vasilis F. Pavlidis, Eby G. Friedman Interconnect delay minimization through interlayer via placement in 3-D ICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RC interconnects, elmore delay, 3-D ICs
22Ahmed Louri, Avinash Karanth Kodi An Optical Interconnection Network and a Modified Snooping Protocol for the Design of Large-Scale Symmetric Multiprocessors (SMPs). Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel optical interconnects, scalable optical networks, cache coherence, SMPs
22Vishak Venkatraman, Andrew Laffely, Jinwook Jang, Hempraveen Kukkamalla, Zhi Zhu, Wayne P. Burleson NoCIC: a spice-based interconnect planning tool emphasizing aggressive on-chip interconnect circuit methods. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip, spice-based, network-on-chip, interconnects, signaling
22V. Chandramouli, Karem A. Sakallah, Ayman I. Kayssi Signal Delay in Coupled, Distributed RC Lines in the Presence of Temporal Proximity. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Coupled interconnects, Distributed lines, Proximity effects, Interconnect delay, Moment matching
22Rajesh Pendurkar, Abhijit Chatterjee, Craig A. Tovey Optimal single probe traversal algorithm for testing of MCM substrat. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF optimal single probe traversal algorithm, MCM substrate testing, single probe, MCM interconnects, total distance, terminal pad, interconnection net, tour construction, arbitrary insertion, shuffling techniques, total traversal cost, probe traversal time, electronics industry, semiconductor chips, traveling salesman problem, multichip modules, multichip modules
22Frederik Beeftink, Arjan J. van Genderen, N. P. van der Meijs Accurate and efficient layout-to-circuit extraction for high-speed MOS and bipolar/BiCMOS integrated circuits. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MOS integrated circuits, bipolar integrated circuits, BiCMOS integrated circuits, layout-to-circuit extraction, high-speed MOS integrated circuits, bipolar/BiCMOS integrated circuits, device recognition, equivalent network, layout parasitics, interconnects, circuit analysis computing, circuit layout CAD, Space, Spice, device modeling
22Huy Cat, Myunghee Lee, Brent Buchanan, D. Scott Wills, Martin A. Brooke, Nan M. Jokerst Silicon VLSI processing architectures incorporating integrated optoelectronic devices. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF silicon, integrated optoelectronics, integrated optoelectronic interconnects, I/O communication, inter-chip communication, silicon VLSI processing architectures, digital SIMD processors, frame processing, three dimensional stacked chips, thin film detector array, image processing, image processing, VLSI, optical interconnections, integrated circuit interconnections, Si
22Prince Kohli, Mustaque Ahamad, Karsten Schwan Indigo: User-Level Support for Building Distributed Shared Abstractions. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Indigo, user-level support, distributed shared abstractions, user-level library, state sharing techniques, DSM protocols, consistency actions, shared abstractions, programming environments, parallel machines, shared memory systems, distributed memory systems, shared memory machines, high performance interconnects
22Hameed A. Naseem, Ajay P. Malshe, Rajan A. Beera, M. Shahid Haque, William D. Brown, Len W. Schaper CVD-diamond substrates for multi-chip modules (MCMs). Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF diamond, laser beam machining, metallisation, CVD coatings, elemental semiconductors, chemical vapour deposition, CVD-diamond substrates, 3D MCM, chemical vapor deposition, diamond thin films, laser drilling, via holes, metallization, dielectric coatings, multilayer interconnects, C, planarization, multichip modules, multichip modules, fabrication, polishing, polishing, substrates
22Chunming Qiao, Rami G. Melhem Reconfiguration with Time Division Multiplexed MIN's for Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF MIN's, multiprocessor communications, TDM-MIN's, N time slots, n-dimensional hypercubes, Markov analysis, partition of connection requests, partitioning, mappings, reconfiguration, multiprocessor interconnection networks, embedding, meshes, NP-hard, multistage interconnection networks, optical interconnects, rings, binary trees, shift registers, time division multiplexing, time division multiplexed, round-robin, cube-connected-cycles
21Joshua Hursey, Timothy Mattox, Andrew Lumsdaine Interconnect agnostic checkpoint/restart in open MPI. Search on Bibsonomy HPDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint coordination protocol, fault tolerance, MPI, shared memory, rollback-recovery, infiniband, myrinet, high speed interconnect, checkpoint/restart
21Shan Tang, Qiang Xu 0001 In-band Cross-Trigger Event Transmission for Transaction-Based Debug. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Mohab Anis Advanced IC technology - opportunities and challenges. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Changbo Long, Lucanus J. Simonson, Weiping Liao, Lei He 0001 Microarchitecture Configurations and Floorplanning Co-Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Sunghoon Chun, YongJoon Kim, Sungho Kang 0001 MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RLC interconnect model, fault modeling, signal integrity, interconnect test
21Yuho Jin, Eun Jung Kim 0001, Ki Hwan Yum A Domain-Specific On-Chip Network Design for Large Scale Cache Systems. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Deepak C. Sekar, Azad Naeemi, Reza Sarvari, Jeffrey A. Davis, James D. Meindl IntSim: A CAD tool for optimization of multilevel interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21H. J. Kadim Analytical Modelling for Adaptive Multi-Purpose On-Chip Optical Interconnect. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Dimitri Kagaris, Spyros Tragoudas, Sherin Kuriakose InTeRail: A Test Architecture for Core-Based SOCs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design for testability, cores, test access mechanism, System-on-chip test
21Ethiopia Nigussie, Juha Plosila, Jouni Isoaho Delay-Insensitive On-Chip Communication Link using Low-Swing Simultaneous Bidirectional Signaling. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Akira Tsuchiya, Masanori Hashimoto, Hidetoshi Onodera Interconnect RL extraction at a single representative frequency. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ya-Chi Yang, Cheng-Kok Koh, Venkataramanan Balakrishnan Adaptive admittance-based conductor meshing for interconnect analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Andrew B. Kahng, Kambiz Samadi, Puneet Sharma Study of Floating Fill Impact on Interconnect Capacitance. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Vishal Suthar, Shantanu Dutt Mixed PLB and Interconnect BIST for FPGAs Without Fault-Free Assumptions. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Dennis Dalessandro, Pete Wyckoff, Gary R. Montry Initial Performance Evaluation of the NetEffect 10 Gigabit iWARP Adapter. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jinwook Jang, Sheng Xu, Wayne P. Burleson Jitter in Deep Sub-Micron Interconnect. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Wolf-Dietrich Weber, Joe Chou, Ian Swarbrick, Drew Wingard A Quality-of-Service Mechanism for Interconnection Networks in System-on-Chips. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yan Lin 0001, Lei He 0001 Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable-Vdd, time slack, FPGA, low power
21Yuanyuan Yang 0001, Jianchao Wang Routing Permutations on Optical Baseline Networks with Node-Disjoint Paths. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Pranav Anbalagan, Jeffrey A. Davis Maximum Multiplicity Distributions for Length Prediction Driven Placement. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jason Cong, Yiping Fan, Zhiru Zhang Architecture-level synthesis for automatic interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, multi-cycle communication, scheduling, high-level synthesis, register binding
21Junhyung Um, Taewhan Kim Synthesis of arithmetic circuits considering layout effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Pallav Gupta, Lin Zhong 0001, Niraj K. Jha A High-level Interconnect Power Model for Design Space Exploration. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
21Junhyung Um, Taewhan Kim Layout-aware synthesis of arithmetic circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout, high performance, carry-save-adder
21Markus Fischer System Area Network Extensions to the Parallel Virtual Machine. Search on Bibsonomy PVM/MPI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Thaddeus Gabara Phantom Mode Signaling in VLSI Systems. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Takeshi Saito, Ichiro Tomoda, Yoshiaki Takabatake, Keiichi Teramoto, Kensaku Fujimoto Gateway Technologies for Home Network and Their Implementations. Search on Bibsonomy ICDCS Workshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Qinwei Xu, Pinaki Mazumder, Zheng-Fan Li Transmission Line Modeling by Modified Method of Characteristics. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Byungwoo Choi, D. M. H. Walker Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect coupling, delay fault model, process variation, timing analysis, delay fault test
21Shantanu Dutt, Vimalvel Shanmugavel, Steven Trimberger Efficient incremental rerouting for fault reconfiguration in field programmable gate arrays. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA defect/fault tolerance, dynamic fault reconfiguration, incremental circuit rerouting, reconfiguration time, track overhead
21Kangwoo Lee, Woo-Jong Han, Michel Dubois 0001 Bottleneck-Free Interconnect and IO Subsystem in SPAX. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Seok-Yoon Kim, Nanda Gopal, Lawrence T. Pillage Time-domain macromodels for VLSI interconnect analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Len Dekker, Edward E. E. Frietman Optical link and processor clustering in the Delft parallel processor. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Tobias Koal, Daniel Scheit, Heinrich Theodor Vierhaus A scheme of logic self repair including local interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Tomasz Rudnicki, Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka Effective BIST for crosstalk faults in interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Dana Vantrease, Nathan L. Binkert, Robert Schreiber, Mikko H. Lipasti Light speed arbitration and flow control for nanophotonic interconnects. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Boris Grot, Joel Hestness, Stephen W. Keckler, Onur Mutlu Express Cube Topologies for on-Chip Interconnects. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Abhinav Bhatele, Eric J. Bohm, Laxmikant V. Kalé A Case Study of Communication Optimizations on 3D Mesh Interconnects. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Antonio Robles-Gómez, Aurelio Bermúdez, Rafael Casado Implementing a Change Assimilation Mechanism for Source Routing Interconnects. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance evaluation, routing protocols, dynamic reconfiguration, High-performance networks, topology discovery
19Joseph B. Cessna, Thomas R. Bewley Honeycomb-structured computational interconnects and their scalable extension to spherical domains. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF switchless interconnect, graph theory
19Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma A process variation tolerant, high-speed and low-power current mode signaling scheme for on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF current mode singnaling, dynamic overdriving, process variation tolerant
19K. C. Narasimhamurthy, Roy P. Paily Impact of Bias Voltage on Magnetic Inductance of Carbon Nanotube Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Xiaomeng Shi, Kiat Seng Yeo, Jianguo Ma, Manh Anh Do, Erping Li Complex Shaped On-Wafer Interconnects Modeling for CMOS RFICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Kaveh Shakeri, James D. Meindl Accelerated Modeling of Massively Coupled RLC Interconnects Using the Relative Inductance Extraction Method. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-Power, Delay-Balanced Smart Repeaters for Global Interconnects in the Nanometer Regime. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Terrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk Implementation of Wave-Pipelined Interconnects in FPGAs. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Kees Goossens, Martijn T. Bennebroek, Jae Young Hur, Muhammad Aqeel Wahlah Hardwired Networks on Chip in FPGAs to Unify Functional and Con?guration Interconnects. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Carsten Albrecht, Philipp Roß, Roman Koch, Thilo Pionteck, Erik Maehle Performance Analysis of Bus-Based Interconnects for a Run-Time Reconfigurable Co-Processor Platform. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network Co-processor, SoC Interconnect, Run-Time Reconfiguration
19Tomokazu Yoneda, Hideo Fujiwara Wrapper and TAM Co-Optimization for Reuse of SoC Functional Interconnects. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19M.-C. Frank Chang, Jason Cong, Adam Kaplan, Chunyue Liu, Mishali Naik, Jagannath Premkumar, Glenn Reinman, Eran Socher, Sai-Wang Tam Power reduction of CMP communication networks via RF-interconnects. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hanyu Liu, Xiaolei Chen, Yajun Ha An architecture and timing-driven routing algorithm for area-efficient FPGAs with time-multiplexed interconnects. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19M.-C. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong, Glenn Reinman RF interconnects for communications on-chip. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RF-interconnect, network-on-chip, chip multiprocessors
19Hanyu Liu, Xiaolei Chen, Yajun Ha An Area-Efficient Timing-Driven Routing Algorithm for Scalable FPGAs with Time-Multiplexed Interconnects. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Joonsung Bae, Joo-Young Kim 0001, Hoi-Jun Yoo A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 µm CMOS for 10mm on-chip interconnects. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jiangjiang Liu 0002, Nihar R. Mahapatra The role of interconnects in the performance scalability of multicore architectures. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Sharath Jayaprakash, Nihar R. Mahapatra Energy-optimal signaling and ordering of bits for area-constrained interconnects. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ying Zhang 0040, Huawei Li 0001, Xiaowei Li 0001, Yu Hu 0001 Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Codeword Selection, Crosstalk Avoidance, Reliable Bus
19Ki Jin Han, Madhavan Swaminathan, Ege Engin Electric field integral equation combined with cylindrical conduction mode basis functions for electrical modeling of three-dimensional interconnects. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF conduction mode basis function, electric field integral equation, proximity effect, system-in-package, skin effect, 3-D integration
19Dharmendra Saraswat, Ramachandra Achar, Michel S. Nakhla Fast Passivity Verification and Enforcement via Reciprocal Systems for Interconnects With Large Order Macromodels. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Atul Maheshwari, Wayne P. Burleson Current-Sensing and Repeater Hybrid Circuit Technique for On-Chip Interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Changzhong Chen, Emad Gad, Natalie Nakhla, Ramachandra Achar Analysis of Frequency-Dependent Interconnects Using Integrated Congruence Transform. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19K. S. Sainarayanan, Chittarsu Raghunandan, M. B. Srinivas Delay and Power Minimization in VLSI Interconnects with Spatio-Temporal Bus-Encoding Scheme. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Hengliang Zhu, Xuan Zeng 0001, Wei Cai 0003, Jintao Xue, Dian Zhou A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Avinash Karanth Kodi, Ahmed Louri Power-Aware Bandwidth-Reconfigurable Optical Interconnects for High-Performance Computing (HPC) Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jae Young Hur, Todor P. Stefanov, Stephan Wong, Stamatis Vassiliadis Systematic Customization of On-Chip Crossbar Interconnects. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Natalie Nakhla, Michel S. Nakhla, Ramachandra Achar Sparse and passive reduction of massively coupled large multiport interconnects. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jianxun Liu, Wen-Ben Jone An efficient routing method for pseudo-exhaustive built-in self-testing of high-speed interconnects. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19José C. García 0001, Juan A. Montiel-Nelson, Saeid Nooshabadi Adaptive Low/High Voltage Swing CMOS Driver for On-Chip Interconnects. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Charbel J. Akl, Magdy A. Bayoumi Reducing Delay Uncertainty of On-Chip Interconnects by Combining Inverting and Non-Inverting Repeaters Insertion. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan, Shabbir H. Batterywala, Narendra V. Shenoy, Hai Zhou 0001 Impact of Modern Process Technologies on the Electrical Parameters of Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Wei Huang 0003, Qi Gao 0004, Jiuxing Liu, Dhabaleswar K. Panda 0001 High performance virtual machine migration with RDMA over modern interconnects. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Reza Zamani, Ahmad Afsahi, Ying Qian, V. Carl Hamacher A feasibility analysis of power-awareness and energy minimization in modern interconnects for high-performance computing. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Qiang Xu 0001, Yubin Zhang, Krishnendu Chakrabarty SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Cary Gunn CMOS Photonics for High-Speed Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS photonics, high-speed optical communications, Luxtera, 10-Gbps
19Junmou Zhang, Eby G. Friedman Crosstalk modeling for coupled RLC interconnects with application to shield insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Aditya Bansal, Bipul Chandra Paul, Kaushik Roy 0001 An Analytical Fringe Capacitance Model for Interconnects Using Conformal Mapping. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Haitham S. Hamza, Jitender S. Deogun Designing Scalable WDM Optical Interconnects Using Predefined Wavelength Conversion. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Martin Omaña 0001, José Manuel Cazeaux, Daniele Rossi 0001, Cecilia Metra Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Taskin Koçak, Jacob Engel Performance evaluation of wormhole routed network processor-memory interconnects. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 3357 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license