The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BIST with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (24) 1989-1990 (20) 1991 (15) 1992 (24) 1993 (25) 1994 (35) 1995 (56) 1996 (51) 1997 (71) 1998 (81) 1999 (84) 2000 (122) 2001 (115) 2002 (121) 2003 (126) 2004 (134) 2005 (114) 2006 (89) 2007 (69) 2008 (72) 2009 (47) 2010 (43) 2011 (34) 2012 (27) 2013 (50) 2014 (34) 2015 (33) 2016-2017 (34) 2018 (22) 2019-2020 (27) 2021-2022 (25) 2023 (17) 2024 (2)
Publication types (Num. hits)
article(532) incollection(2) inproceedings(1304) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1729 occurrences of 545 keywords

Results
Found 1860 publication records. Showing 1843 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Foster F. Dai, Charles E. Stroud, Dayu Yang, Shuying Qi Automatic Linearity (IP3) Test with Built-in Pattern Generator and Analyzer. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16N. Venkateswaran 0002, Krishna Bharath Frequency Domain Testing of General Purpose Processors at the Instruction Execution Level. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yi Zhao, Sujit Dey Fault-coverage analysis techniques of crosstalk in chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jeongjin Roh, Jacob A. Abraham A comprehensive signature analysis scheme for oscillation-test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Rei-Fu Huang, Li-Ming Denq, Cheng-Wen Wu, Jin-Fu Li 0001 A Testability-Driven Optimizer and Wrapper Generator for Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16John Marty Emmert, Jason A. Cheatham, Badhri Jagannathan, Sandeep Umarani An FFT Approximation Technique Suitable for On-Chip Generation and Analysis of Sinusoidal Signals. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Adam B. Kinsman, Jonathan I. Hewitt, Nicola Nicolici Embedded Compact Deterministic Test for IP-Protected Cores. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jin-Fu Li 0001, Jen-Chieh Yeh, Rei-Fu Huang, Cheng-Wen Wu, Peir-Yuan Tsai, Archer Hsu, Eugene Chow A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF built-in redundancy-analysis, built-in self-test, memory testing, semiconductor memory, built-in self-repair
16Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi, Farzin Karimi Hybrid Multisite Testing at Manufacturing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16David M. Wu, Mike Lin, Subhasish Mitra, Kee Sup Kim, Anil Sabbavarapu, Talal Jaber, Pete Johnson, Dale March, Greg Parrish H-DFT: A Hybrid DFT Architecture For Low-Cost High Quality Structural Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos, Spyros Tragoudas A new built-in TPG method for circuits with random patternresistant faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Shu-Rong Lee, Ming-Jun Hsiao, Tsin-Yuan Chang An Access Timing Measurement Unit of Embedded Memory. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Emil Gizdarski, Hideo Fujiwara Fault Set Partition for Efficient Width Compression. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Robert Gibbins, R. Dean Adams, Thomas J. Eckenrode, Michael Ouellette, Yuejian Wu Design and Test of a 9-port SRAM for a 100Gb/s STS-1 Switch. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16N. Axelos, J. Watson, D. Taylor, A. Platts Built-In-Self-Test of Analogue Circuits Using Optimised Fault Sets and Transient Response Testing. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Sungbae Hwang, Jacob A. Abraham Selective-run built-in self-test using an embedded processor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF processor-based testing, built-in self-test, design for testability, SOC testing, pseudo-random number generator
16Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Integrated test of interacting controllers and datapaths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF built-in self-test, register transfer level, synthesis-for-testability
16Tetsuji Kishi, Mitsuyasu Ohta, Takashi Taniguchi, Hiroshi Kadota A New Inter-Core Built-In-Self-Test Circuits for Tri-State Buffers in the System on a Chip. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Aiman H. El-Maleh, Yahya E. Osais A retiming-based test pattern generator design for built-in self test of data path architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Gaetano Palumbo, Giuseppe Introvaia, Vincenzo Mastrocola, Promod Kumar, Francesco Pipiton Built-In Self Test for Low Cost Testing of a 60 MHz Synchronous Flash Memory. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Kuo-Liang Cheng, Ming-Fu Tsai, Cheng-Wen Wu Efficient Neighborhood Pattern-Sensitive Fault Test Algorithms for Semiconductor Memories. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Chao-Wen Tseng, Subhasish Mitra, Edward J. McCluskey, Scott Davidson 0001 An Evaluation of Pseudo Random Testing for Detecting Real Defects. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Jiun-Lang Huang, Kwang-Ting Cheng An On-Chip Short-Time Interval Measurement Technique for Testing High-Speed Communication Links. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16A. Schubert, Walter Anheier On Random Pattern Testability of Cryptographic VLSI Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF testing of cores, test-ready intellectual property, built-in self-test, pseudorandom testing
16Khoan Truong A Simple Built-In Self Test For Dual Ported SRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Melvin A. Breuer High End and Low End Applications for Defective Chips: Enhanced Availability and Acceptability. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Abhijit Jas, Kartik Mohanram, Nur A. Touba An Embedded Core DFT Scheme to Obtain Highly Compressed Test Sets. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Test Vector Compression, External Testing, Weighted Pseudo-Random Testing, Built-In Self-Test, Embedded Processor, System-on-a-Chip, Automatic Test Equipment, At-Speed Testing, Scan Chains, Deterministic Testing
16Larry Fenstermaker, Ilyoung Kim, Jim L. Lewandowski, Jeffrey J. Nagy Built In Self Test for Ring Addressed FIFOs with Transparent Latches. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Built In Self Test, Memory testing, Embedded memories
16Andrzej Krasniewski Application-Dependent Testing of FPGA Delay Faults. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Y. Tsiatouhas, Th. Haniotakis A Zero Aliasing Built-In Self Test Technique for Delay Fault Testing. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Built-In Self Test, Delay Fault Testing
16Vinay Dabholkar, Sreejit Chakravarty, Irith Pomeranz, Sudhakar M. Reddy Techniques for minimizing power dissipation in scan and combinational circuits during test application. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Hussain Al-Asaad, John P. Hayes, Brian T. Murray Scalable Test Generators for High-Speed Datapath Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF datapath circuits, scalability, built-in self-test, test generation, on-line testing, carry lookahead
16Ilyoung Kim, Yervant Zorian, Goh Komoriya, Hai Pham, Frank P. Higgins, Jim L. Lewandowski Built in self repair for embedded high density SRAM. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Han Bin Kim, Takeshi Takahashi 0003, Dong Sam Ha Test session oriented built-in self-testable data path synthesis. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Charles E. Stroud, Sajitha Wijesuriya, Carter Hamilton, Miron Abramovici Built-in self-test of FPGA interconnect. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Makoto Sugihara, Hiroshi Date, Hiroto Yasuura A novel test methodology for core-based system LSIs and a testing time minimization problem. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Debesh K. Das, Indrajit Chaudhuri, Bhargab B. Bhattacharya Design of an Optimal Test Pattern Generator for Built-in Self Testing of Path Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in self-test, TPG, delay faults, robust testing, two-pattern tests
16Katarzyna Radecka, Janusz Rajski, Jerzy Tyszer Arithmetic built-in self-test for DSP cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Edward K. F. Lee Reconfigurable data converter as a building block for mixed-signal test. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Kanad Chakraborty, Pinaki Mazumder A programmable boundary scan technique for board-level, parallel functional duplex march testing of word-oriented multiport static RAMs. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16O. A. Petlin, Stephen B. Furber Built-In Self-Testing of Micropipelines. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Built-in self-test, Design for test, Asynchronous design, Micropipelines
16Heebyung Yoon, Abhijit Chatterjee, Joseph L. A. Hughes Optimal Design of Checksum-Based Checkers for Fault Detection in Linear Analog Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Abhijit Chatterjee, Naveena Nagi Design for Testability and Built-In Self-Test of Mixed-Signal Circuits: A Tutorial. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Sudipta Bhawmik, Indradeep Ghosh A Practical Method for Selecting Partial Scan Flip-flops for Large Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Mehrdad Nourani, Joan Carletta, Christos A. Papachristou A Scheme for Integrated Controller-Datapath Fault Testing. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Circular Self-Test Path for FSMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Joan Carletta, Christos A. Papachristou Structural constraints for circular self-test paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circular self-test paths, bit-level correlation, register adjacency, logic testing, built-in self test, built-in self test, integrated circuit testing, sequential circuits, automatic testing, flip-flops, test quality, register transfer level circuits
16Jos van Sas, Francky Catthoor, Hugo De Man Cellular automata based deterministic self-test strategies for programmable data paths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Ad J. van de Goor, Yervant Zorian Effective march algorithms for testing single-order addressed memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Read/Write memories, single-address order, single-order addressed memory, SRAM, memory testing, March test
16Magdy S. Abadir, Ashish R. Parikh, Linda Bal, Peter Sandborn, Ken Drake Analyzing Multichip Module Testing Strategies. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Salvador Mir, Vladimir Kolarik, Marcelo Lubaszewski, C. Nielsen, Bernard Courtois Built-in self-test and fault diagnosis of fully differential analogue circuits. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Sanjay Gupta, Janusz Rajski, Jerzy Tyszer Test pattern generation based on arithmetic operations. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Vishwani D. Agrawal, Charles R. Kime, Kewal K. Saluja A Tutorial on Built-In Self-Test, Part 2: Applications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Brion L. Keller, David P. Carlson, William Maloney The Compiled Logic Simulator. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16R. P. van Riessen, Hans G. Kerkhoff, A. Kloppenburg Designing and Implementing an Architecture with Boundary Scan. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Michael Nicolaidis Self-exercising checkers for unified built-in self-test (UBIST). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Shambhu J. Upadhyaya, Kewal K. Saluja A new approach to the design of built-in self-testing PLAs for high fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Kwanghyun Kim, Dong Sam Ha, Joseph G. Tront On using signature registers as pseudorandom pattern generators in built-in self-testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
14Kushagra Bhatheja, Shravan K. Chaganti, Johnathan Leisinger, Emmanuel Nti Darko, Isaac Bruce, Degang Chen 0001 A BIST Approach to Approximate Co-Testing of Embedded Data Converters. Search on Bibsonomy IEEE Des. Test The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Ashrith S. Harith, Yingdi Liu, Nilanjan Mukherjee 0001, Jeffrey Mayer X-Tolerant Logic BIST for Automotive Designs using Observation Scan Technology. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Ahmad Menbari, Hadi Jahanirad A Tunable Concurrent BIST Design Based on Reconfigurable LFSR. Search on Bibsonomy J. Electron. Test. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14N. Sathiabama, S. Anila A Universal BIST Approach for Virtex-Ultrascale Architecture. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Paolo Bernardi, Gabriele Filipponi, Matteo Sonza Reorda, Davide Appello, Claudia Bertani, Vincenzo Tancorre Collecting diagnostic information through dichotomic search from Logic BIST of failing in-field automotive SoCs with delay faults. Search on Bibsonomy DDECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Pablo Cruz-Dato, Miguel Chanca-Martín, José M. de la Rosa Design of a 15-Bit 160-MS/s Sigma-Delta DAC for BIST Generation in Automotive RADAR Systems. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Armen Babayan Validation and Test Challenges for Multi-Memory Bus BIST Engines. Search on Bibsonomy EWDTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Loh Wan Ying, Weng Fook Lee, Razaidi Hussin, Aiman Zakwan Jidin, Norhawati Ahmad, Nor Azura Zakaria Novel March WY Approach for Dynamic Fault Detection in Memory BIST. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Enrico Jimenez Tuero, Aniello Franzese, Andrea Malignaggi HBT Power Detector utilizing an Ultra-compact Transformer-based Coupler for 5G BIST. Search on Bibsonomy RWS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Manasa Madhvaraj, Salvador Mir, Manuel J. Barragán Special Session: On-chip jitter BIST with sub-picosecond resolution at GHz frequencies. Search on Bibsonomy LATS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14J. Lefevre, P. Debaud, P. Girard, Arnaud Virazel Predictor BIST: An "All-in-One" Optical Test Solution for CMOS Image Sensors. Search on Bibsonomy ITC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Peng Chen 0022, Jun Yin 0001, Feifei Zhang, Pui-In Mak, Rui Paulo Martins, Robert Bogdan Staszewski Mismatch Analysis of DTCs With an Improved BIST-TDC in 28-nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Ahmad Menbari, Hadi Jahanirad A Low-cost BIST Design Supporting Offline and Online Tests. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Guillermo G. Garayar-Leyva, Hatem Osman, Johan J. Estrada-López, Oscar Moreira-Tamayo Skew-Circulant-Matrix-Based Harmonic-Canceling Synthesizer for BIST Applications. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Sangjukta R. Chowdhury, Sumit Bhardwaj, Jennifer Kitchen Application Driven Rapid Synthesis for Analog BIST Components. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Manasa Madhvaraj, Salvador Mir, Manuel J. Barragán A self-referenced on-chip jitter BIST with sub-picosecond resolution in 28 nm FD-SOI technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14J. Lefevre, P. Debaud, Patrick Girard 0001, Arnaud Virazel A Generic Fast and Low Cost BIST Solution for CMOS Image Sensors. Search on Bibsonomy ETS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Jongho Park, Sangjun Lee, Inhwan Lee, Sungwhan Park, Sungho Kang Correlation Aware Random Pattern Generation for Test Time and Shift Power Reduction of Logic BIST. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Gabriele Filipponi, Giusy Iaria, Matteo Sonza Reorda, Davide Appello, Giuseppe Garozzo, Vincenzo Tancorre In-field Data Collection System through Logic BIST for large Automotive Systems-on-Chip. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Jaewon Park, Jae Hoon Lee, Sang-Kil Park, Ki Chul Chun, Kyomin Sohn, Sungho Kang 0001 An In-DRAM BIST for 16 Gb DDR4 DRAM in the 2nd 10-nm-Class DRAM Process. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Kwonhyoung Lee, Sangjun Lee, Jongho Park, Inhwan Lee, Sungho Kang 0001 A Low-Power BIST Scheme Using Weight-Aware Scan Grouping and Scheduling for Automotive ICs. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Tsai-Chieh Chen, Chia-Cheng Pai, Yi-Zhan Hsieh, Hsiao-Yin Tseng, Chien-Mo James Li, Tsung-Te Liu, I-Wei Chiu Clock-Less DFT and BIST for Dual-Rail Asynchronous Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Antonios Pavlidis, Eric Faehn, Marie-Minerve Louërat, Haralampos-G. Stratigopoulos BIST-Assisted Analog Fault Diagnosis. Search on Bibsonomy ETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Changming Cui, Junlin Huang A 3DIC interconnect interface test and repair scheme based on Hybrid IEEE1838 Die Wrapper Register and BIST circuit. Search on Bibsonomy ETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Martin Clara, Daniel Gruber, Albert Molina, Matteo Camponeschi, Yu-shan Wang, Christian Lindholm, Hundo Shin, Ramón Sanchez-Perez, Christoph Duller, Patrick Torta, Kamran Azadet 10.7 A 64GS/s 4×-Interpolated 1b Semi-Digital FIR DAC for Wideband Calibration and BIST of RF-Sampling A/D Converters. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Maxwell Ballot, Tinus Stander A RF Amplifier with Oscillation-Based BIST Based on Differential Power Detection. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Mahsa Akhsham, Zainalabedin Navabi Integrating an Interconnect BIST with Crosstalk Avoidance Hardware. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Maher Sarraj, Haydar Bilhan, Wahed Mohammed Achieving Zero ADC Production Test Time with Self-calibration and BIST. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Kangkang Xu, Yang Yu 0015, Xiyuan Peng TSV Fault Modeling and A BIST Solution for TSV Pre-bond Test. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Jonti Talukdar, Siyuan Chen, Amitabh Das, Sohrab Aftabjahani, Peilin Song, Krishnendu Chakrabarty A BIST-based Dynamic Obfuscation Scheme for Resilience against Removal and Oracle-guided Attacks*. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Zeynep Hilal Kilimci, Ramazan Duvar An Efficient Word Embedding and Deep Learning Based Model to Forecast the Direction of Stock Exchange Market Using Twitter and Financial News Sites: A Case of Istanbul Stock Exchange (BIST 100). Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Chenchen Xie, Xi Li 0012, Yu Lei 0003, Houpeng Chen, Qian Wang, Jiashu Guo, Jie Miao, Yi Lv, Zhitang Song BIST-Based Fault Diagnosis for PCM With Enhanced Test Scheme and Fault-Free Region Finding Algorithm. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Takaaki Kato, Senling Wang, Yasuo Sato, Seiji Kajihara, Xiaoqing Wen A Flexible Scan-in Power Control Method in Logic BIST and Its Evaluation with TEG Chips. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Sandeep Dhariwal, Ravi Trivedi Design and Analysis of Power and Area Efficient Novel Concurrent Cellular Automation Logic Block Observer BIST Structure. Search on Bibsonomy Int. J. Perform. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Yingdi Liu, Nilanjan Mukherjee 0001, Janusz Rajski, Sudhakar M. Reddy, Jerzy Tyszer Deterministic Stellar BIST for Automotive ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Abhishek Koneru, Krishnendu Chakrabarty An Interlayer Interconnect BIST and Diagnosis Solution for Monolithic 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Hung Le, Doyen Sahoo, Nancy F. Chen, Steven C. H. Hoi BiST: Bi-directional Spatio-Temporal Reasoning for Video-Grounded Dialogues. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
14Afan Hasan, Oya Kalipsiz, Selim Akyokus Modeling Traders' Behavior with Deep Learning and Machine Learning Methods: Evidence from BIST 100 Index. Search on Bibsonomy Complex. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Antonios Pavlidis, Marie-Minerve Louërat, Eric Faehn, Anand Kumar, Haralampos-G. D. Stratigopoulos Symmetry-based A/M-S BIST (SymBIST): Demonstration on a SAR ADC IP. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Bartosz Kaczmarek, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Lukasz Rybak 0001, Jerzy Tyszer Test Sequence-Optimized BIST for Automotive Applications. Search on Bibsonomy ETS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Arbab Alamgir, Abu Khari bin A'Ain, Norlina Paraman, Usman Ullah Sheikh, Ian Andrew Grout A comparative analysis of LFSR cascading for hardware efficiency and high fault coverage in BIST applications. Search on Bibsonomy ATS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Renato S. Feitoza, Manuel J. Barragán, Antonio J. Ginés, Salvador Mir Static linearity BIST for Vcm-based switching SAR ADCs using a reduced-code measurement technique. Search on Bibsonomy NEWCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1843 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license