The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for LUT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1995 (26) 1996 (16) 1997-1998 (28) 1999 (16) 2000 (25) 2001 (20) 2002 (31) 2003 (31) 2004 (40) 2005 (52) 2006 (48) 2007 (42) 2008 (57) 2009 (41) 2010 (26) 2011 (15) 2012 (20) 2013 (21) 2014 (23) 2015 (19) 2016 (28) 2017 (19) 2018 (26) 2019 (22) 2020 (28) 2021 (26) 2022 (45) 2023 (38) 2024 (6)
Publication types (Num. hits)
article(236) book(1) inproceedings(596) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 465 occurrences of 241 keywords

Results
Found 842 publication records. Showing 835 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Gang Zhou, Harald Michalik, László Hinsenkamp Improving Throughput of AES-GCM with Pipelined Karatsuba Multipliers on FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AES-GCM, pipelined Karatsuba multiplier, FPGAs, finite field arithmetic
10Pratik J. Shah, Jiang Hu Impact of lithography-friendly circuit layout. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cd variation, lithography, wirelength, routing congestion
10Grzegorz Borowik, Tadeusz Luba Decomposing Pattern Matching Circuit. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Pattern matching, Finite state machine, Decomposition, Logic synthesis, Embedded memory, Address generator
10Kuo-Liang Chung, Jyun-Pin Wang, Ming-Shao Cheng, Yong-Huai Huang Speedup of Color Palette Indexing in Self-Organization of Kohonen Feature Map. Search on Bibsonomy CAIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Color palette indexing, lateral update interaction, SOFM, winning neuron, speedup, learning process, lookup table
10Zhai Zhang, Youren Wang, Shanshan Yang, Rui Yao, Jiang Cui The research of self-repairing digital circuit based on embryonic cellular array. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Self-repairing digital circuit, Embryonic cellular array, Fault-tolerance design, Digital circuit design
10Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung Integrated Floorplanning, Module-Selection, and Architecture Generationfor Reconfigurable Devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton GlitchLess: Dynamic Power Minimization in FPGAs Through Edge Alignment and Glitch Filtering. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Michael J. Beauchamp, Scott Hauck, Keith D. Underwood, K. Scott Hemmert Architectural Modifications to Enhance the Floating-Point Performance of FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Heng-Yao Lin, Ying-Hong Lu, Bin-Da Liu, Jar-Ferr Yang A Highly Efficient VLSI Architecture for H.264/AVC CAVLC Decoder. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Pramod Kumar Meher, Shrutisagar Chandrasekaran, Abbes Amira FPGA Realization of FIR Filters by Efficient and Flexible Systolization Using Distributed Arithmetic. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kees Goossens, Martijn T. Bennebroek, Jae Young Hur, Muhammad Aqeel Wahlah Hardwired Networks on Chip in FPGAs to Unify Functional and Con?guration Interconnects. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Shiann Shiun Jeng, Hsing-Chen Lin, Chen-Yu Wu DDFS design using the equi-section division method for SDR transceiver. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Ian Kuon, Jonathan Rose Area and delay trade-offs in the circuit and architecture design of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, FPGA, architecture
10Hadi Parandeh-Afshar, Philip Brisk, Paolo Ienne Improving Synthesis of Compressor Trees on FPGAs via Integer Linear Programming. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Petr Mikusek, Vaclav Dvorak On Lookup Table Cascade-Based Realizations of Arbiters. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tsutomu Sasao, Yukihiro Iguchi On the Complexity of Error Detection Functions for Redundant Residue Number Systems. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Scott Miller, Ambrose Chu, Mihai Sima, Michael McGuire VLSI Implementation of a Cryptography-Oriented Reconfigurable Array. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yang Sun 0001, Joseph R. Cavallaro Unified decoder architecture for LDPC/turbo codes. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sylvain Guilley, Laurent Sauvage, Jean-Luc Danger, Philippe Hoogvorst Area optimization of cryptographic co-processors implemented in dual-rail with precharge positive logic. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Gang Zhou, Li Li 0027, Harald Michalik Area optimization of bit parallel finite field multipliers with fast carry logic on FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Chiu-Wah Ng, Ngai Wong, Hayden Kwok-Hay So, Tung-Sang Ng Direct sigma-delta modulated signal processing in FPGA. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hosung (Leo) Kim, John Lillis A framework for layout-level logic restructuring. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF logic resynthesis, timing optimization
10Günter Knittel Pipelined Bus-Invert Coding for FPGAs Driving High-Speed DDR-Channels. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bus-Invert Coding, Dual-Data-Rate, FPGA
10Inès Bousnina, Alex Stephenne, Sofiène Affes, Abdelaziz Samet Performance of a New Low-Complexity Angular Spread Estimator in the Presence of Line-of-Sight. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yu Hu 0002, Zhe Feng 0002, Lei He 0001, Rupak Majumdar Robust FPGA resynthesis based on fault-tolerant Boolean matching. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Ioannis Kouretas, Charalambos Basetas, Vassilis Paliouras Low-power logarithmic number system addition/subtraction and their impact on digital filters. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Gian Carlo Cardarilli, Luca Di Nunzio, Marco Re, Alberto Nannarelli ADAPTO: full-adder based reconfigurable architecture for bit level operations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Roberto Muscedere, Karl Leboeuf A dynamic address decode circuit for implementing range addressable look-up tables. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Bin Du, Zhou Shi-Sheng, Li Ni Applications of Tetrahedral Interpolation in Color Conversion Model. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yi-Hua E. Yang, Weirong Jiang, Viktor K. Prasanna Compact architecture for high-throughput regular expression matching on FPGA. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BRAM, FPGA, intrusion detection, finite state machine, regular expression, NFA
10Jason Meyer, Fatih Kocan Sharing of SRAM Tables Among NPN-Equivalent LUTs in SRAM-Based FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Máire McLoone, Ciaran McIvor High-speed & Low Area Hardware Architectures of the Whirlpool Hash Function. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hash function implementation, cryptography, whirlpool
10Marco Bucci, Luca Giancane, Raimondo Luzzi, Alessandro Trifiletti A Dynamic and Differential CMOS Lookup Table with Data-Independent Power Consumption for Cryptographic Applications on Chip Cards. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF differential logic, dual rail logic, chip-cards, cryptography, differential power analysis, DPA, power analysis
10Irwin O. Kennedy Implementation of Low Frequency Finite State Machines Using the VIRTEX SRL16 Primitive. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jixiang Zhu, Yuanxiang Li 0001, Guoliang He, Xuewen Xia An Intrinsic Evolvable Hardware Based on Multiplexer Module Array. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF intrinsic, FPGA, digital, multiplexer
10Yuan Zhang, Youren Wang, Shanshan Yang, Min Xie Design of a Cell in Embryonic Systems with Improved Efficiency and Fault-Tolerance. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Embryonic systems, Two-level self-repair, Extended hamming code, Fault tolerance of configuration memory, Cellular arrays
10Yu Hu 0002, Satyaki Das, Steven Trimberger, Lei He 0001 Design, synthesis and evaluation of heterogeneous FPGA with mixed LUTs and macro-gates. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jing-Ming Guo, Jen-Ho Chen Watermarking in Halftone Images with Kernels-Alternated Error Diffusion and Haar Wavelet Transform. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yukihiro Iguchi, Tsutomu Sasao, Munehiro Matsuura On Designs of Radix Converters Using Arithmetic Decompositions--Binary to Decimal Converters--. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sayed Hafizur Rahman, Asif Iqbal Ahmed, Otmane Aït Mohamed Analysis and Performance Evaluation of a Digital Carrier Synchronizer for Modem Applications. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sai Mohan Kilambi, Behrouz Nowrouzian A Diversity Controlled Genetic Algorithm for Optimization of FRM Digital Filters over DBNS Multiplier Coefficient Space. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Abdulah Abdulah Zadeh High Speed Modular Divider Based on GCD Algorithm. Search on Bibsonomy ICICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GCD algorithm, Radix four, Finite Field, ECC
10Andy Yan, Steven J. E. Wilton Product-Term-Based Synthesizable Embedded Programmable Logic Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Soheil Ghiasi, Elaheh Bozorgzadeh, Po-Kuan Huang, Roozbeh Jafari, Majid Sarrafzadeh A Unified Theory of Timing Budget Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Rohit Kumar 0001, Ying-Jui Chen, Soontorn Oraintara, Kevin Amaratunga Lapped unimodular transforms: lifting factorization and structural regularity. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mohammad Tehranipoor, Reza M. Rad Fine-grained island style architecture for molecular electronic devices. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mohammed Y. Niamat, Dinesh Nemade, Mohsin M. Jamali Testing embedded RAM modules in SRAM-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jianhua Liu, Michael Chang, Chung-Kuan Cheng An iterative division algorithm for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, low power, high performance, division
10Ren Huang, Soo-Ik Chae Implementation of an OpenVG Rasterizer with Configurable Anti-Aliasing and Multi-Window Scissoring. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Shin Hasegawa, Hui Wang 0054, Daming Wei An Efficient Algorithm for Real-time Catheter Tip Detection in a Virtual Reality of Electrophysiology Study. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Shinobu Nagayama, Tsutomu Sasao, Jon T. Butler Programmable numerical function generators based on quadratic approximation: architecture and synthesis method. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Evangelia Kassapaki, Pavlos M. Mattheakis, Christos P. Sotiriou Actual-Delay Circuits on FPGA: Trading-Off Luts for Speed. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kazutoshi Kobayashi, Manabu Kotani, Kazuya Katsuki, Y. Takatsukasa, K. Ogata, Yuuri Sugihara, Hidetoshi Onodera A Yield and Speed Enhancement Technique Using Reconfigurable Devices Against Within-Die Variations on the Nanometer Regime. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung A Novel Heuristic and Provable Bounds for Reconfigurable Architecture Design. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Somsubhra Mondal, Seda Ogrenci Memik Power Optimization Techniques for SRAM-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Leandro Möller, Rafael Soares, Ewerson Carvalho, Ismael Grehs, Ney Calazans, Fernando Moraes 0001 Infrastructure for dynamic reconfigurable systems: choices and trade-offs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable architectures, NoCs, configuration controllers
10Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung A Novel Hueristic and Provable Bounds for Reconfigurable Architecture Design. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Zhe-Ming Lu, Hao Luo 0001, Jeng-Shyang Pan 0001 Reversible Watermarking for Error Diffused Halftone Images Using Statistical Features. Search on Bibsonomy IWDW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical features, reversible watermarking, halftone image
10P. Kenterlis, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Mihalis Psarakis A Low-Cost SEU Fault Emulation Platform for SRAM-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Vivek Garg, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001 An Area and Configuration-Bit Optimized CLB Architecture and Timing-Driven Packing for FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Palkesh Jain, D. Vinay Kumar, J. M. Vasi, Mahesh B. Patil Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Zhanfeng Zhao, Zhiquan Zhou, Haiyan Yu, Xiaolin Qiao New Methods for QDDFS with Millions' Compression Ratio. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Gaoqi He, Zhigeng Pan, Christophe Quarre, Mingmin Zhang, Huijun Xu Multi-stroke Freehand Text Entry Method Using OpenVG and Its Application on Mobile Devices. Search on Bibsonomy Edutainment The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multi-stroke, hardware accelerated APIs, segmentation, recognition, text entry, OpenVG
10Anh Dinh, Xiao Hu A hardware-efficient technique to implement a trellis code modulation decoder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Carlos Álvarez 0001, Jesús Corbal, Mateo Valero Fuzzy Memoization for Floating-Point Multimedia Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Low-power design, real-time and embedded systems, special-purpose and application-based systems
10Shrutisagar Chandrasekaran, Abbes Amira An area efficient low power inner product computation for discrete orthogonal transforms. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Li Zhang, Haizhou Ai, Shengjun Xin, Chang Huang, Shuichiro Tsukiji, Shihong Lao Robust face alignment based on local texture classifiers. Search on Bibsonomy ICIP (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hyun Wook Ok, Seong-Deok Lee, Wonhee Choe, Du-Sik Park, Chang-Yeong Kim Color processing for multi-primary display devices. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Siobhán Launders, Colin Doyle, Wesley Cooper Switching-Activity Directed Clustering Algorithm for Low Net-Power Implementation of FPGAs. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10E. Syam Sundar Reddy, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001, Narayanan Vijaykrishnan Online Detection and Diagnosis of Multiple Configuration Upsets in LUTs of SRAM-Based FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Andres Upegui, Eduardo Sanchez Evolving Hardware by Dynamically Reconfiguring Xilinx FPGAs. Search on Bibsonomy ICES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jing-Ming Guo Robust Watermarking with Kernels-Alternated Error Diffusion and Weighted Lookup Table in Halftone Images. Search on Bibsonomy ISM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chris Sullivan, Alex Wilson, Stephen P. G. Chappell Deterministic Hardware Synthesis for Compiling High-Level Descriptions to Heterogeneous Reconfigurable Architectures. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano FPGA oriented design of parity sharing RS codecs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Tsutomu Sasao, Munehiro Matsuura BDD representation for incompletely specifiedvmultiple-output logic functions and its applications to functional decomposition. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF code converter, BDD, cascade, characteristic function, incompletely specified function
10Chang Huang, Bo Wu 0001, Haizhou Ai, Shihong Lao Omni-directional face detection based on real adaboost. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10A. Manoj Kumar, B. Jayaram 0002, V. Kamakoti 0001 SHAPER: synthesis for hybrid FPGA architectures containing PLA elements using reconvergence analysis. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10André DeHon, Michael J. Wilson Nanowire-based sublithographic programmable logic arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sublithographic architecture, programmable logic arrays, nanowires
10A. Manoj Kumar, Jayaram Bobba, V. Kamakoti 0001 MemMap: Technology Mapping Algorithm for Area Reduction in FPGAs with Embedded Memory Arrays Using Reconvergence Analysis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Wonpil Yu, YunKoo Chung, Jung Soh Vignetting Distortion Correction Method for High Quality Digital Imaging. Search on Bibsonomy ICPR (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Chang Huang, Haizhou Ai, Bo Wu 0001, Shihong Lao Boosting Nested Cascade Detector for Multi-View Face Detection. Search on Bibsonomy ICPR (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10R. Manimegalai, A. Manoj Kumar, B. Jayaram 0002, V. Kamakoti 0001 MemMap-pd: Performance Driven Technology Mapping Algorithm for FPGAs with Embedded Memory Blocks. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Marcos Martínez Peiró, Francisco José Ballester-Merelo, Guillermo Payá Vayá, Ricardo José Colom-Palero, Rafael Gadea Gironés, J. Belenguer FPGA Custom DSP for ECG Signal Analysis and Compression. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Michael D. Hutton, Jay Schleicher, David M. Lewis, Bruce Pedersen, Richard Yuan, Sinan Kaptanoglu, Gregg Baeckler, Boris Ratchev, Ketan Padalia, Mark Bourgeault, Andy Lee, Henry Kim, Rahul Saini Improving FPGA Performance and Area Using an Adaptive Logic Module. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Rajarshi Mukherjee, Seda Ogrenci Memik Power-Driven Design Partitioning. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Rajarshi Mukherjee, Seda Ogrenci Memik Power Management for FPGAs: Power-Driven Design Partitioning. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Bo Wu 0001, Haizhou Ai, Chang Huang, Shihong Lao Fast Rotation Invariant Multi-View Face Detection Based on Real Adaboost. Search on Bibsonomy FGR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Tsutomu Sasao, Munehiro Matsuura A method to decompose multiple-output logic functions. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, BDD, cascade, characteristic function
10Javier Ramírez 0001, Uwe Meyer-Bäse, Fred J. Taylor, Antonio García 0001, Antonio Lloris-Ruíz Design and Implementation of High-Performance RNS Wavelet Processors Using Custom IC Technologies. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RNS arithmetic, custom integrated circuit, field-programmable logic devices, discrete wavelet transform
10Javier Ramírez 0001, Antonio García 0001, Uwe Meyer-Bäse, Fred J. Taylor, Antonio Lloris-Ruíz Implementation of RNS-Based Distributed Arithmetic Discrete Wavelet Transform Architectures Using Field-Programmable Logic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable logic, digital signal processing, discrete wavelet transform, residue number system, distributed arithmetic
10Michael G. Wrighton, André DeHon Hardware-assisted simulated annealing with application for fast FPGA placement. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, simulated annealing, placement, reconfigurable computing, design automation
10Ketan Padalia, Ryan Fung, Mark Bourgeault, Aaron Egier, Jonathan Rose Automatic transistor and physical design of FPGA tiles from an architectural specification. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, PLD, automatic layout
10Randy Huang, John Wawrzynek, André DeHon Stochastic, spatial routing for hypergraphs, trees, and meshes. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF spatial routing, FPGA, reconfigurable computing, detail routing
10Om Prakash Gangwal, Johan G. W. M. Janssen, Selliah Rathnam, Erwin B. Bellers, Marc Duranton Understanding Video Pixel Processing Applications for Flexible Implementations. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ehsan Atoofian, Zainalabedin Navabi A BIST Architecture for FPGA Look-Up Table Testing Reduces Reconfigurations. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10J. Soares Augusto, Carlos Beltrán Almeida, H. C. Campos Neto A Modular Reconfigurable Architecture for Efficient Fault Simulation in Digital Circuits. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Chetan Patel, Anthony Cozzie, Herman Schmit, Lawrence T. Pileggi An architectural exploration of via patterned gate arrays. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VPGA, lookup table, interconnect architectures, gate array
10Seok-Bum Ko, Jien-Chung Lo A Novel Technology Mapping Method for AND/XOR Expressions. Search on Bibsonomy ISMVL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Siew Kei Lam, Devendra K. Chaudhaiy, Thambipillai Srikanthan Low cost logarithmic techniques for high-precision computations. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Michael A. Soderstrand CSD multipliers for FPGA DSP applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 835 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license