The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1983 (15) 1984-1986 (25) 1987-1988 (27) 1989-1990 (45) 1991 (27) 1992 (23) 1993 (21) 1994 (30) 1995 (64) 1996 (54) 1997 (53) 1998 (60) 1999 (50) 2000 (87) 2001 (71) 2002 (63) 2003 (84) 2004 (88) 2005 (82) 2006 (78) 2007 (77) 2008 (69) 2009 (43) 2010 (43) 2011 (21) 2012 (25) 2013 (15) 2014 (23) 2015 (22) 2016 (21) 2017-2018 (36) 2019 (22) 2020 (15) 2021 (20) 2022 (20) 2023 (19) 2024 (1)
Publication types (Num. hits)
article(548) book(2) incollection(1) inproceedings(972) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2021 occurrences of 633 keywords

Results
Found 1539 publication records. Showing 1539 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Andrzej Krasniewski Application-Dependent Testing of FPGA Delay Faults. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Justin S. J. Wong, N. Pete Sedcole, Peter Y. K. Cheung Self-Measurement of Combinatorial Circuit Delays in FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Testing, configuration, delay measurement
11Yun-Che Wen Test scheme for switched-capacitor circuits by digital analyses. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Brendan Mullane, Vincent O'Brien, Ciaran MacNamee, Thomas Fleischmann An SOC platform for ADC test and measurement. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Chunhua Yao, Kewal K. Saluja, Abhishek A. Sinkar WOR-BIST: A Complete Test Solution for Designs Meeting Power, Area and Performance Requirements. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Haralampos-G. D. Stratigopoulos, Jeanne Tongbong, Salvador Mir A General Method to Evaluate RF BIST Techniques Based on Non-parametric Density Estimation. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Dong Xiang, Mingjing Chen, Hideo Fujiwara Using Weighted Scan Enable Signals to Improve Test Effectiveness of Scan-Based BIST. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Random testability, scan enable signal, weighted random testing, scan-based BIST
11Rani S. Ghaida, Payman Zarkesh-Ha Estimation of Electromigration-Aggravating Narrow Interconnects Using a Layout Sensitivity Model. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Daniela De Venuto, Leonardo Reyneri Analysis and experimental results of an FPGA-based strategy for fast production test of high resolution ADCs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Luís Rolíndez, Salvador Mir, Ahcène Bounceur, Jean-Louis Carbonéro A SNDR BIST for Sigma-Delta Analogue-to-Digital Converters. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Saibal Mukhopadhyay, Kaushik Roy 0001 Low-power scan design using first-level supply gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Milos Krstic, Eckhard Grass BIST Technique for GALS Systems. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero, Massimo Violante Automatic generation of test sets for SBST of microprocessor IP cores. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, hardware accelerator, automatic test generation, pipelined architectures, microprocessor test, test programs
11Mohammed Y. Niamat, Surya S. Hejeebu, Mansoor Alam A BIST Approach for Testing FPGAs Using JBITS. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Beatriz Olleta, Hanjun Jiang, Degang Chen 0001, Randall L. Geiger A segmented thermometer coded DAC with deterministic dynamic element matching for high resolution ADC test. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jiann-Chyi Rau, Ying-Fu Ho, Po-Han Wu A novel reseeding mechanism for pseudo-random testing of VLSI circuits. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Kirti Joshi, Eric W. MacDonald Reduction of Instantaneous Power by Ripple Scan Clocking. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Swarup Bhunia, Hamid Mahmoodi-Meimand, Saibal Mukhopadhyay, Debjyoti Ghosh, Kaushik Roy 0001 A Novel Low-Power Scan Design Technique Using Supply Gating. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Zhongjun Yu, Degang Chen 0001, Randall L. Geiger Accurate testing of ADC's spectral performance using imprecise sinusoidal excitations. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Selim Sermet Akbay, Abhijit Chatterjee Feature Extraction Based Built-In Alternate Test of RF Components Using a Noise Reference. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Gang Zeng, Hideo Ito Hybrid BIST for System-on-a-Chip Using an Embedded FPGA Core. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Heinz Mattes, Claus Dworski, Sebastian Sattler Controlled Sine Wave Fitting for ADC Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Omar I. Khan, Michael L. Bushnell Spectral Analysis for Statistical Response Compaction During Built-In Self-Testing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yi Zhao, Sujit Dey Fault-coverage analysis techniques of crosstalk in chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Chunsheng Liu, Krishnendu Chakrabarty Failing vector identification based on overlapping intervals of test vectors in a scan-BIST environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jeongjin Roh, Jacob A. Abraham A comprehensive signature analysis scheme for oscillation-test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Adit D. Singh, Markus Seuring, Michael Gössel, Egor S. Sogomonyan Multimode scan: Test per clock BIST for IP cores. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC, BIST, scan, digital testing
11Debjyoti Ghosh, Swarup Bhunia, Kaushik Roy 0001 Multiple Scan Chain Design Technique for Power Reduction during Test Application in BIST. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Adam B. Kinsman, Jonathan I. Hewitt, Nicola Nicolici Embedded Compact Deterministic Test for IP-Protected Cores. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Peter Wohl, Leendert M. Huisman Analysis and Design of Optimal Combinational Compactors. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Bhargab B. Bhattacharya, Sharad C. Seth, Sheng Zhang 0008 Double-Tree Scan: A Novel Low-Power Scan-Path Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Dong Xiang, Hideo Fujiwara Handling the pin overhead problem of DFTs for high-quality and at-speed tests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Christian Galke, Matthias Pflanz, Heinrich Theodor Vierhaus A Test Processor Concept for Systems-on-a-Chip. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-Seeding. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Robert Bailey, A. Metayer, B. Svrcek, Nandu Tendolkar, E. Wolf, Eric Fiene, Mike Alexander, Rick Woltenberg, Rajesh Raina Test Methodology for Motorola's High Performance e500 Core Based on PowerPC Instruction Set Architecture. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Nur A. Touba, Edward J. McCluskey Bit-fixing in pseudorandom sequences for scan BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Switching activity generation with automated BIST synthesis forperformance testing of interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Peter Bukovjan, Laurent Ducerf-Bourbon, Meryem Marzouki Cost/Quality Trade-off in Synthesis for BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF DFT reuse, BIST, synthesis for testability, testability analysis
11Ching-Hong Tsai, Cheng-Wen Wu Processor-programmable memory BIST for bus-connected embedded memories. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Tobias Schüle, Albrecht P. Stroele Test Scheduling for Minimal Energy Consumption under Power Constraints. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Han Bin Kim, Dong Sam Ha, Takeshi Takahashi 0003, Takahiro J. Yamaguchi A new approach to built-in self-testable datapath synthesis based on integer linear programming. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Xiaodong Zhang 0010, Wenlei Shan, Kaushik Roy 0001 Low-power weighted random pattern testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Jacob Savir Distributed BIST Architecture to Combat Delay Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, LFSR, delay test, MISR, LSSD, SRL
11Patrick Girard 0001 Low Power Testing of VLSI Circuits: Problems and Solutions. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test, Low Power, ATPG, BIST, Low Energy
11Jin Ding, David Moloney, Xiaojun Wang 0001 Aliasing-Free Space and Time Compactions with Limited Overhead. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Yuejian Wu, Saman Adham Scan-based BIST fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya An Adaptive BIST to Detect Multiple Stuck-Open Faults in CMOS circuits. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch Circuit Partitioning for Low Power BIST Design with Minimized Peak Power Consumption. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST Design, Test, Low-power Design, Energy Consumption
11Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A Framework for Testability Analysis and Optimizationb of RTL Circuits for BIST. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Paulo F. Flores, José C. Costa, Horácio C. Neto, José Monteiro 0001, João Marques-Silva 0001 Assignment and Reordering of Incompletely Specified Pattern Sequences Targetting Minimum Power Dissipation. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Nilanjan Mukherjee 0001, Tapan J. Chakraborty, Sudipta Bhawmik A BIST scheme for the detection of path-delay faults. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Huan-Chih Tsai, Sudipta Bhawmik, Kwang-Ting Cheng An almost full-scan BIST solution-higher fault coverage and shorter test application time. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Jacob Savir Delay Test Generation: A Hardware Perspective. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF transition test, skewed-load delay test, shift dependency, cellular automata, linear feedback shift register, delay test, pseudo-random test
11Chih-Ang Chen, Sandeep K. Gupta Design of efficient BIST test pattern generators for delay testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Ting-Ting Y. Lin, Huoy-Yu Liou A New Framework for Designing: Built-in Test Multichip Modules with Pipelined Test Strategy. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Ben Liu, Hejie Chen, Wei He Wiki-based collaborative learning: incorporating self-assessment tasks. Search on Bibsonomy Int. Sym. Wikis The full citation details ... 2008 DBLP  DOI  BibTeX  RDF item model, wiki-based collaborative learning, formative assessment, computer assisted assessment
10S. Habermann, René Kothe, Heinrich Theodor Vierhaus Built-in Self Repair by Reconfiguration of FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Radek Dobias, Hana Kubátová FPGA Based Design of the Railway's Interlocking Equipments. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jaeki Yoo, Edward Lee, Earl E. Swartzlander Jr. A self-testing method for the pipelined A/D converter. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Flavius Opritoiu, Mircea Vladutiu, Mihai Udrescu, Lucian Prodan Round-level concurrent error detection applied to Advanced Encryption Standard. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Tianjia Sun, Li Guo 0004 One New In-Operation Self-Testability Mechanism Designed for SoC Microchips following IEEE STD 1500. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Liyang Lai, Janak H. Patel, Thomas Rinderknecht, Wu-Tung Cheng Logic BIST with Scan Chain Segmentation. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Manoj Singh Gaur, Mark Zwolinski Integrating Self Testability with Design Space Exploration by a Controller based Estimation Technique. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Benoit Nadeau-Dostie, Dwayne Burek, Abu S. M. Hassan ScanBist: A Multifrequency Scan-Based BIST Method. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Bernhard Eschermann, Hans-Joachim Wunderlich Optimized synthesis techniques for testable sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
9Bin Zhou, Yizheng Ye, Zhao-lin Li, Xin-chun Wu, Rui Ke A new low power test pattern generator using a variable-length ring counter. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Gizopoulos, Constantin Halatsis, Frosso S. Makri, Miltiadis Hatzimihail An Input Vector Monitoring Concurrent BIST Architecture Based on a Precomputed Test Set. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jayawant Kakade, Dimitrios Kagaris, Dhiraj K. Pradhan Evaluation of Generalized LFSRs as Test Pattern Generators in Two-Dimensional Scan Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Waleed K. Al-Assadi, Sindhu Kakarla A BIST Technique for Crosstalk Noise Detection in FPGAs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Byoungho Kim, Nash Khouzam, Jacob A. Abraham Efficient Loopback Test for Aperture Jitter in Embedded Mixed-Signal Circuits. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Loopback Test, Aperture Jitter, Digital-to-Analog Converter, Analog-to-Digital Converter, ADC, Mixed-Signal Testing, DAC
9Salvador Manich, Lucas Garcia-Deiros, Joan Figueras Minimizing Test Time in Arithmetic Test-Pattern Generators With Constrained Memory Resources. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jayawant Kakade, Dimitrios Kagaris Minimization of Linear Dependencies Through the Use of Phase Shifters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Petr Fiser Pseudo-Random Pattern Generator Design for Column-Matching BIST. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Letícia Maria Veiras Bolzani, Edgar E. Sánchez, Matteo Sonza Reorda A software-based methodology for the generation of peripheral test sets based on high-level descriptions. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RT-level test metrics, code coverage metrics, gate-level test metrics, test block, fault coverage, SoC testing
9Nan-Cheng Lai, Sying-Jyan Wang, Y.-H. Fu Low-Power BIST With a Smoother and Scan-Chain Reorder Under Optimal Cluster Size. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Seongmoon Wang, Sandeep K. Gupta 0001 LT-RTPG: a new test-per-scan BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Paolo Bernardi, Ernesto Sánchez 0001, Massimiliano Schillaci, Giovanni Squillero, Matteo Sonza Reorda An effective technique for minimizing the cost of processor software-based diagnosis in SoCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Achraf Dhayni, Salvador Mir, Libor Rufer, Ahcène Bounceur Pseudorandom functional BIST for linear and nonlinear MEMS. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Hsieh-Hung Hsieh, Liang-Hung Lu Integrated CMOS Power Sensors for RF BIST Applications. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Maria Da Gloria Flores, Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin, Felipe R. Clayton, Cristiano Benevento Low Cost BIST for Static and Dynamic Testing of ADCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ADC BIST, noise based testing, mixed-signal test
9Paolo Bernardi, Ernesto Sánchez 0001, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero Diagnosing Faulty Functional Units in Processors by Using Automatically Generated Test Sets. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Achraf Dhayni, Salvador Mir, Libor Rufer, Ahcène Bounceur On-chip Pseudorandom Testing for Linear and Nonlinear MEMS. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Wenbo Liu, Hanqing Xing, Le Jin, Randall L. Geiger, Degang Chen 0001 A test strategy for time-to-digital converters using dynamic element matching and dithering. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jin-Fu Li 0001, Chou-Kun Lin Modeling and Testing Comparison Faults for Ternary Content Addressable Memories. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Abhijit Jas, C. V. Krishna, Nur A. Touba Weighted pseudorandom hybrid BIST. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Laurence Tianruo Yang, Jon C. Muzio Testing Methodologies for Embedded Systems and Systems-on-Chip. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Liyang Lai, Thomas Rinderknecht, Wu-Tung Cheng, Janak H. Patel Logic BIST Using Constrained Scan Cells. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Subhasish Mitra, Steven S. Lumetta, Michael Mitzenmacher X-Tolerant Signature Analysis. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Bai Hong Fang, Nicola Nicolici Power-Constrained Embedded Memory BIST Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Le Jin, Kumar L. Parthasarathy, Turker Kuyel, Degang Chen 0001, Randall L. Geiger Linearity Testing of Precision Analog-to-Digital Converters Using Stationary Nonlinear Inputs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9George Bao Challenges in Low Cost Test Approach for ARM9TM Core Based Mixed-Signal SoC DragonBallTM-MX1. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9C. P. Ravikumar, Nitin Kakkar, Saurabh Chopra Mutual Testing based on Wavelet Transforms. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Mutual Testing, Discrete Wavelet Transform, At-Speed Testing
9Wei Li 0023, Chaowen Yu, Sudhakar M. Reddy, Irith Pomeranz A scan BIST generation method using a markov source and partial bit-fixing. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF testing, BIST, markov
9Seongmoon Wang, Sandeep K. Gupta 0001 DS-LFSR: a BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Aleksandra Rankov, Gaynor E. Taylor, John Webster Robust Data Compression for Analogue Test Outputs. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9C. V. Krishna, Nur A. Touba Reducing Test Dat Volume Using LFSR Reseeding with Seed Compression. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Mike W. T. Wong, K. Y. Ko, Yim-Shu Lee Analog and Mixed-Signal IP Cores Testing. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed-signal design, analog circuit testing, SOCs
9Serge N. Demidenko, Ad J. van de Goor, S. Henderson, P. Knoppers Simulation and Development of Short Transparent Tests for RAM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Kenichi Ichino, Takeshi Asakawa, Satoshi Fukumoto, Kazuhiko Iwasaki, Seiji Kajihara Hybrid BIST Using Partially Rotational Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Xiaoling Sun, Jian Xu, Pieter M. Trouborst Testing Xilinx XC4000 Configurable Logic Blocks with Carry Logic Modules. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF configurable logic blocks, fault diagnosis, BIST, FPGA testing
Displaying result #701 - #800 of 1539 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license