The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Abhishek Mishra, Adil Meersha, Nagothu Karmel Kranthi, Kruti Trivedi, Harsha B. Variar, N. S. Veenadhari Bellamkonda, Srinivasan Raghavan 0002, Mayank Shrivastava First Demonstration and Physical Insights into Time-Dependent Breakdown of Graphene Channel and Interconnects. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Vinayak Bharat Naik, J. H. Lim, K. Yamane, Dinggui Zeng, H. Yang, N. Thiyagarajah, Jae Hyun Kwon, N. L. Chung, R. Chao, T. Ling, K. Lee Superior Endurance Performance of 22-nm Embedded MRAM Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xin Ju, Diing Shenp Ang Response of Switching Hole Traps in the Small-Area P-MOSFET Under Channel Hot-Hole Effect. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dirk J. Wouters From Emerging Memory to Novel Devices for Neuromorphic Systems: Consequences for the Reliability Requirements of Memristive Devices. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fatoumata Sy, Quentin Rafhay, Julien Poëtte, Gregory Grosa, C. Besset, Gaelle Beylier, Philippe Grosse, David Roy, Jean-Emmanuel Broquin Characterization and Modelling of High Speed Ge Photodetectors Reliability. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sofie Beyne, Olalla Varela Pedreira, Ingrid De Wolf, Zsolt Tökei, Kristof Croes Low-Frequency Noise Measurements to Characterize Cu-Electromigration Down to 44nm Metal Pitch. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1A. P. Nguyen, Xavier Garros, M. Rafik, Florian Cacho, David Roy 0001, Xavier Federspiel, Fred Gaillard Impact of Passive & Active Load Gate Impedance on Breakdown Hardness in 28nm FDSOI Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Carlo Cagli, Luca Perniola, Fred Gaillard, Stefan Dünkel, Thomas Melde, B. Mueller, Martin Trentzsch, Sven Wittek, Sven Beyer Performance Improvement on HfO2-Based 1T Ferroelectric NVM by Electrical Preconditioning. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kannan K. Thankappan, Adeel Ahmad Bajwa, Boris Vaisband, SivaChandra Jangam, Subramanian S. Iyer Reliability Evaluation of Silicon Interconnect Fabric Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Andrew Kim, Ernest Y. Wu, Baozhen Li, Barry P. Linder Transformation of Ramped Current Stress VBDto Constant Voltage Stress TDDB TBD. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Elnatan Mataev, James H. Stathis, Giuseppe La Rosa, Barry P. Linder Long Term NBTI Relaxation Under AC and DC Biased Stress and Recovery. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yusuke Higashi, Karine Florent, A. Subirats, Ben Kaczer, Luca Di Piazza, Sergiu Clima, Nicolo Ronchi, Sean R. C. McMitchell, Kaustuv Banerjee, Umberto Celano, Masamichi Suzuki, Dimitri Linten, Jan Van Houdt New Insights into the Imprint Effect in FE-HfO2 and its Recovery. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kan Takeuchi, Masaki Shimada, Shinya Konishi, Daisuke Oshida, Naoya Ota, Takashi Yasumasu, Koji Shibutani, Tomohiro Iwashita, Tetsuya Kokubun, Fumio Tsuchiya Experimental Implementation of 8.9Kgate Stress Monitor in 28nm MCU Along with Safety Software Library for IoT Device Maintenance. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sridhar Srinivasan, Matthew Hogan Physics to Tapeout: The Challenge of Scaling Reliability Verification. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ethan S. Lee, Luis Hurtado, Jungwoo Joh, Srikanth Krishnan, Sameer Pendharkar, Jesús A. del Alamo Time-Dependent Dielectric Breakdown Under AC Stress in GaN MIS-HEMTs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Farid N. Najm, Valeriy Sukharev Efficient Simulation of Electromigration Damage in Large Chip Power Grids Using Accurate Physical Models (Invited Paper). Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shu-Han Hsu, Kexin Yang 0001, Linda Milor Machine Learning for Detection of Competing Wearout Mechanisms. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kasey Hogan, Sean Tozier, Emma Rocco, Isra Mahaboob, Vincent Meyers, Ben McEwen, Fatemeh Shahedipour-Sandvik, Randy Tompkins, M. Derenge, Kenneth Jones, M. Shevelev, V. Sklyar, Andrew Lang, J. Hart, Mitra Taheri, Michael A. Reshchikov Novel Gyrotron Beam Annealing Method for Mg-Implanted Bulk GaN. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kris Croes, Veerle Simons, Sofie Beyne, Vladimir Cherman, Herman Oprins, Michele Stucchi, Philippe Absil, A. Glabman, Eric Wilcox Understanding EM-Degradation Mechanisms in Metal Heaters Used for Si Photonics Applications. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anastasiia Kruv, Antonio Arreghini, Mario Gonzalez, Devin Verreck, Geert Van den Bosch, Ingrid De Wolf, Arnaud Furnémont Impact of Mechanical Stress on the Electrical Performance of 3D NAND. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Aby-Gaël Viey, William Vandendaele, Marie-Anne Jaud, Romain Gwoziecki, A. Torres, Marc Plissonnier, Fred Gaillard, Gérard Ghibaudo, Roberto Modica, Ferdinando Iucolano, Matteo Meneghini, Gaudenzio Meneghesso Influence of Gate Length on pBTI in GaN-on-Si E-Mode MOSc-HEMT. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Andrea Padovani, Milan Pesic, Mondol Anik Kumar, Pieter Blomme, Alexandre Subirats, Senthil Vadakupudhupalayam, Zunaid Baten, Luca Larcher Understanding and Variability of Lateral Charge Migration in 3D CT-NAND Flash with and Without Band-Gap Engineered Barriers. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1George Thiel, Flavio Griggio Novel Cumulative Degradation Approach to Predict Components Failure Rates. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jian Liu 0027, Nathaniel Peachey Design and Optimization of the NAND ESD Clamp in CMOS Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Roman Rechter, Robert Kwasnick, Almog Reshef, Oren Zonensain, Tal Raz, Anisur Rahman, Praveen Polasam, Maxim Levit Product Reliability Methods to Enable High Performance CPU's. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Timo Schossler, Florian Schon, Christian Lemier, Gerald Urban Wafer Level Approach for the Investigation of the Long-Term Stability of Resistive Platinum Devices at Elevated Temperatures. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Armen Kteyan, Henrik Hovsepyan, Jun-Ho Choy, Valeriy Sukharev Assesment of CPI Stress Impact on IC Reliability and Performance in 2.5D/3D Packages. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1KyungWoo Lee, Chae-Hyuk Yun, HyungAh Seo, Taehun Kang, Yunsung Lee, Kangyong Cho An Evaluation of X-Ray Irradiation Induced Dynamic Refresh Characterization in DRAM. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1G. Pedreira, Javier Martín-Martínez, Javier Diaz-Fortuny, Pablo Saraza-Canflanca, Rosana Rodríguez, Rafael Castro-López, Elisenda Roca, Francisco V. Fernández 0001, Montserrat Nafría A New Time Efficient Methodology for the Massive Characterization of RTN in CMOS Devices. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Art Schaldenbrand, Jushan Xie, Hany Elhak Recent Updates to Transistor Level Reliability Analysis. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lyuan Xu, Jingchen Cao, Bharat L. Bhuva, Indranil Chatterjee, Shi-Jie Wen, Richard Wong, Lloyd W. Massengill Single-Event Upset Responses of Dual- and Triple-Well D Flip-Flop Designs in 7-nm Bulk FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hideaki Tsuchiya, Naohito Suzumura, Ryuji Shibata, Hideki Aono, Makoto Ogasawara, Toshihiko Akiba, Kenji Sakata, Kazuyuki Nakagawa, Takuo Funaya Electromigration Early Failures for Cu Pillar Interconnections with an ENEPIG Pad Finish and its Suppression. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhuo-Jie Wu, Manish Nayini, Charles Carey, Samantha Donovan, David Questad, Edmund D. Blackshear CPI Reliability Challenges of Large Flip Chip Packages and Effects of Kerf Size and Substrate. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xinggon Wan, Baofu Zhu, Meera Mohan, Keija Wu, Dongil Choi, Arfa Gondal HCI Improvement on 14nm FinFET IO Device by Optimization of 3D Junction Profile. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei-Hao Hsiao, Nian-Jia Wang, Ming-Yi Lee, Li-Kuang Kuo, Ding-Jhang Lin, Yen-Hai Chao, Chih-Yuan Lu Modeling of Apparent Activation Energy and Lifetime Estimation for Retention of 3D SGVC Memory. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kentaro Kojima, Kodai Yamada, Jun Furuta, Kazutoshi Kobayashi An Accurate Device-Level Simulation Method to Estimate Cross Sections of Single Event Upsets by Silicon Thickness in Raised Layer. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shuntaro Fujii, Isao Maru, Soichi Morita, Tsutomu Miyazaki Experimental Study on Effects of Boron Transient Enhanced Diffusion on Channel Size Dependences of Low Frequency Noise in NMOSFETs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Eduard A. Cartier, Wanki Kim, Nanbo Gong, Tayfun Gokmen, Martin M. Frank, Douglas M. Bishop, Youngseok Kim, Seyoung Kim, Takashi Ando, Ernest Y. Wu, Praneet Adusumilli, John Rozen, Paul M. Solomon, Wilfried Haensch, Matthew J. BrightSky, Abu Sebastian, Geoffrey W. Burr, Vijay Narayanan Reliability Challenges with Materials for Analog Computing. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Song-Ju Kim, Kaori Ohkoda, Masashi Aono, Hisashi Shima, Makoto Takahashi, Yasuhisa Naitoh, Hiroyuki Akinaga Reinforcement Learning System Comprising Resistive Analog Neuromorphic Devices. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Balaji Narasimham, K. Chandrasekharan, J. K. Wang, Bharat L. Bhuva Soft Error Performance of High-Speed Pulsed-DICE-Latch Design in 16 nm and 7 nm FinFET Processes. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alexander Makarov, Ben Kaczer, Philippe Roussel, Adrian Vaisman Chasin, Alexander Grill, Michiel Vandemaele, Geert Hellings, Al-Moatasem El-Sayed, Tibor Grasser, Dimitri Linten, Stanislav Tyaginov Modeling the Effect of Random Dopants on Hot-Carrier Degradation in FinFETs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jeff Gambino, Derryl D. J. Allman, Gavin D. R. Hall, D. Price, L. Sheng, R. Takada, Y. Kanuma Reliability of an Al2O3/SiO2MIM Capacitor for 180nm (3.3V) Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mamathamba Kalishettyhalli Mahadevaiah, Eduardo Pérez, Christian Wenger, Alessandro Grossi, Cristian Zambelli, Piero Olivo, Finn Zahari, Hermann Kohlstedt, Martin Ziegler 0004 Reliability of CMOS Integrated Memristive HfO2 Arrays with Respect to Neuromorphic Computing. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1James A. O'Donnell, Chris Connor, Tanmoy Pramanik, Jeff Hicks, Juan G. Alzate, Fatih Hamzaoglu, Justin Brockman, Oleg Golonzka, Kevin Fischer eNVM MRAM Retention Reliability Modeling in 22FFL FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Antony Fan, Joddy Wang, Vladimir Aptekar Advanced Circuit Reliability Verification for Robust Design. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1H. W. Wan, Y. J. Hong, L. B. Young, M. Hong, J. Kwo Fundamental Understanding of Oxide Defects in HfO2 and Y2O3 on GaAs(001) with High Thermal Stability. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Frank Sill Torres, Hussam Amrouch, Jörg Henkel, Rolf Drechsler Impact of NBTI on Increasing the Susceptibility of FinFET to Radiation. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ryutaro Yasuhara, Takashi Ono, Reiji Mochida, Shunsaku Muraoka, Kazuyuki Kouno, Koji Katayama, Yuriko Hayata, Masayoshi Nakayama, Hitoshi Suwa, Yukio Hayakawa, Takumi Mikawa, Yasushi Gohou, Shinichi Yoneda Reliability Issues in Analog ReRAM Based Neural-Network Processor. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Narendra Parihar, Uma Sharma, Richard G. Southwick, Miaomiao Wang 0006, James H. Stathis, Souvik Mahapatra On the Frequency Dependence of Bulk Trap Generation During AC Stress in Si and SiGe RMG P-FinFETs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaolei Ma, Xiangwei Jiang, Jiezhi Chen, Liwei Wang 0003, Yunfei En Scaling Behaviour of State-to-State Coupling During Hole Trapping at Si/SiO2. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chenran Lei, Albert Lee, Qinkan Kang, MinKwang Lee, Seiji Yang, Dan Oliver, Tu Giao Use of High Voltage OBIRCH Fault Isolation Technique in Failure Analysis of High Voltage IC's. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mitsuhiko Igarashi, Yuuki Uchida, Yoshio Takazawa, Makoto Yabuuchi, Yasumasa Tsukamoto, Koji Shibutani Study of Local BTI Variation and its Impact on Logic Circuit and SRAM in 7 nm Fin-FET Process. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhicheng Wu, Jacopo Franco, Dieter Claes, Gerhard Rzepa, Philippe J. Roussel, Nadine Collaert, Guido Groeseneken, Dimitri Linten, Tibor Grasser, Ben Kaczer Accelerated Capture and Emission (ACE) Measurement Pattern for Efficient BTI Characterization and Modeling. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1C. S. Premachandran, Thuy Tran-Quinn, Lloyd Burrell, Patrick Justison A Comprehensive Wafer Level Reliability Study on 65nm Silicon Interposer. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peter F. Satterthwaite, Ananth Saran Yalamarthy, Sam Vaziri, Miguel Munoz-Rojo, Eric Pop, Debbie G. Senesky Process-Induced Anomalous Current Transport in Graphene/InA1N/GaN Heterostructured Diodes. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Milova Paul, Boeila Sampath Kumar, Harald Gossner, Mayank Shrivastava Contact and junction engineering in bulk FinFET technology for improved ESD/latch-up performance with design trade-offs and its implications on hot carrier reliability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Daniel J. Lichtenwalner, Brett Hull, Edward Van Brunt, Shadi Sabri, Donald A. Gajewski, Dave Grider, Scott Allen, John W. Palmour, Akin Akturk, James McGarrity Reliability studies of SiC vertical power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jie-Jie Zhu, Bin Hou, Lixiang Chen, Qing Zhu, Ling Yang 0003, Xiaowei Zhou, Peng Zhang, Xiaohua Ma, Yue Hao Threshold voltage shift and interface/border trapping mechanism in Al2O3/AlGaN/GaN MOS-HEMTs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1M. Arabi, A. Cros, X. Federspiel, Cheikh Ndiaye, Vincent Huard, M. Rafik Modeling self-heating effects in advanced CMOS nodes. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Donghyuk Yun, Myungsang Park, Chul Seung Lim, Sanghyeon Baeg Study of TID effects on one row hammering using gamma in DDR4 SDRAMs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dimitris P. Ioannou, Y. Tan, R. Logan, K. Bandy, R. Achanta, P. C. Wang, Dave Brochu, M. Jaffe Hot carrier effects on the RF performance degradation of nanoscale LNA SOI nFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gilbert Sassine, Cecile Nail, Luc Tillie, Diego Alfaro Robayo, Alexandre Levisse, Carlo Cagli, Khalil El Hajjam, Jean-Francois Nodin, Elisa Vianello, Mathieu Bernard, Gabriel Molas, Etienne Nowak Sub-pJ consumption and short latency time in RRAM arrays for high endurance applications. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Narendra Parihar, Souvik Mahapatra Prediction of NBTI stress and recovery time kinetics in Si capped SiGe p-MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Junji Senzaki, Shohei Hayashi, Yoshiyuki Yonezawa, Hajime Okumura Challenges to realize highly reliable SiC power devices: From the current status and issues of SiC wafers. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chao-Kun Hu, James J. Kelly, Huai Huang, Koichi Motoyama, Hosadurga Shobha, Yuri Ostrovski, James H.-C. Chen, Raghuveer Patlolla, Brown Peethala, Praneet Adusumilli, Terry A. Spooner, Roger Quon, Lynne M. Gignac, Chris M. Breslin, G. Lian, M. Ali, Jacob Benedict, X. S. Lin, S. Smith, Vimal Kamineni, X. Zhang, Frank Wilhelm Mont, Shariq Siddiqui, Frieder H. Baumann Future on-chip interconnect metallization and electromigration. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Marina Yamaguchi, Shosuke Fujii, Yuuichi Kamimuta, Shoichi Kabuyanagi, Tsunehiro Ino, Yasushi Nakasaki, Riichiro Takaishi, Reika Ichihara, Masumi Saitoh Impact of specific failure mechanisms on endurance improvement for HfO2-based ferroelectric tunnel junction memory. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gyusung Park, Minsu Kim, Chris H. Kim, Bongjin Kim, Vijay Reddy All-digital PLL frequency and phase noise degradation measurements using simple on-chip monitoring circuits. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1H. Zhang, H. Jiang, M. R. Eaker, Kurt J. Lezon, Balaji Narasimham, Nihaar N. Mahatme, Lloyd W. Massengill, Bharat L. Bhuva Evaluation on flip-flop physical unclonable functions in a 14/16-nm bulk FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1William Vandendaele, Xavier Garros, Thomas Lorin, Erwan Morvan, A. Torres, René Escoffier, Marie-Anne Jaud, Marc Plissonnier, Fred Gaillard A novel insight of pBTI degradation in GaN-on-Si E-mode MOSc-HEMT. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jacopo Franco, Ben Kaczer, Adrian Vaisman Chasin, Erik Bury, Dimitri Linten Hot electron and hot hole induced degradation of SiGe p-FinFETs studied by degradation maps in the entire bias space. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chen-Yi Su, M. Armstrong, Lei Jiang, S. A. Kumar, C. D. Landon, S. Liu, Inanc Meric, K. W. Park, Leif Paulson, K. Phoa, Bernhard Sell, Jihan Standfest, Ketul B. Sutaria, J. Wan, D. Young, Stephen Ramey Transistor reliability characterization and modeling of the 22FFL FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Franco Stellari, Alan J. Weger, Keith A. Jenkins, Giuseppe La Rosa, Barry P. Linder, Peilin Song Estimating transistor channel temperature using time-resolved and time-integrated NIR emission. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Balaji Narasimham, Tim Wu, Jung K. Wang, Bruce Conway Evaluation of the system-level SER performance of gigabit ethernet transceiver devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yasuyo Kurachi, Hiroshi Yamamoto, Yukinori Nose, Satoshi Shimizu, Yasunori Tateno, Takumi Yonemura, Masato Furukawa Failure mode analysis of GaN-HEMT under high temperature operation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yi Ching Ong, Shou-Chung Lee, A. S. Oates Percolation defect nucleation and growth as a description of the statistics of electrical breakdown for gate, MOL and BEOL dielectrics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vincent Huard, Cheikh Ndiaye, M. Arabi, Narendra Parihar, X. Federspiel, Souhir Mhira, S. Mahapatra, Alain Bravaix Key parameters driving transistor degradation in advanced strained SiGe channels. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Soo Cheol Kang, Sang Kyung Lee, S. Heo, S. M. Kim, Sung Kwan Lim, Byoung Hun Lee Reliability characteristics of MIM capacitor studied with ΔC-F characteristics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andreas Graff, Michél Simon-Najasek, David Poppitz, Frank Altmann Physical failure analysis methods for wide band gap semiconductor devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yu Zhou, Diing Shenp Ang, Pranav Sairam Kalaga, Sankara Rao Gollu Oxide breakdown path for optical sensing at the nanoscale level. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Seungman Choi, Cathryn Christiansen, Linjun Cao, James Zhang, Ronald Filippi, Tian Shen, Kong Boon Yeap, Sean P. Ogden, Haojun Zhang, Bianzhu Fu, Patrick Justison Effect of metal line width on electromigration of BEOL Cu interconnects. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Seongwon Jeong, Jinseok Kim 0005, Ayoung Kim, Byungwook Kim, Moonsoo Lee, Jaewon Chang, In Hak Baick, Hanbyul Kang, Younggeun Ji, Sangchul Shin, Sangwoo Pae Optimal design of dummy ball array in wafer level package to improve board level thermal cycle reliability (BLR). Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yury Yu. Illarionov, Aday J. Molina-Mendoza, Michael Waltl, Theresia Knobloch, Marco M. Furchi, Thomas Mueller, Tibor Grasser Reliability of next-generation field-effect transistors with transition metal dichalcogenides. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chen Wu, O. Varela Pedreira, Alicja Lesniewska, Yunlong Li, Ivan Ciofi, Zsolt Tökei, Kris Croes Insights into metal drift induced failure in MOL and BEOL. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hui Jiang, H. Zhang, R. C. Harrington, J. A. Maharrey, J. S. Kauppila, Lloyd W. Massengill, Bharat L. Bhuva Impact of supply voltage and particle LET on the soft error rate of logic circuits. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yunlong Li, Michele Stucchi, Stefaan Van Huylenbroeck, Geert Van der Plas, Gerald Beyer, Eric Beyne, Kristof Croes TSV process-induced MOS reliability degradation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Barry J. O'Sullivan, Simon Van Beek, Philippe J. Roussel, Sidharth Rao, Wonsub Kim, S. Couet, Johan Swerts, Farrukh Yasin, Dimitri Crotti, Dimitri Linten, Gouri Sankar Kar Extended RVS characterisation of STT-MRAM devices: Enabling detection of AP/P switching and breakdown. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anapathur V. Ramesh, Shilpa M. Reddy, Dan K. Fitzsimmons Airplane system design for reliability and quality. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alberto Castellazzi, Asad Fayyaz, Siwei Zhu, Thorsten Oeder, Martin Pfost Single pulse short-circuit robustness and repetitive stress aging of GaN GITs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Taiki Uemura, Soonyoung Lee, Dahye Min, Ihlhwa Moon, Jungman Lim, Seungbae Lee, Hyun-Chul Sagong, Sangwoo Pae Investigation of alpha-induced single event transient (SET) in 10 nm FinFET logic circuit. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vincent Huard, Souhir Mhira, A. Barclais, X. Lecocq, F. Raugi, M. Cantournet, Alain Bravaix Managing electrical reliability in consumer systems for improved energy efficiency. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rajat Sinha, Prasenjit Bhattacharya, Sanjiv Sambandan, Mayank Shrivastava On the ESD behavior of a-Si: H based thin film transistors: Physical insights, design and technological implications. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shinji Yokogawa, Kazuki Tate Reliability evaluation of defect accounted time-dependent dielectric breakdown with competing-mixture distribution. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kodai Yamada, Haruki Maruoka, Jun Furuta, Kazutoshi Kobayashi Sensitivity to soft errors of NMOS and PMOS transistors evaluated by latches with stacking structures in a 65 nm FDSOI process. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pengyu Wei, Javad Meiguni, David J. Pommerenke System-level design for ESD protection on multiple IO interfaces. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jeff Peter Gambino, H. Soleimani, I. Rahim, B. Riebeek, L. Sheng, G. Hosey, H. Truong, Gavin D. R. Hall, R. Jerome, D. Price Device reliability for CMOS image sensors with backside through-silicon vias. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sachin Nadig, Amit Lal In-situ calibration Of MEMS inertial sensors for long-term reliability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1D. S. Huang, J. H. Lee, Y. S. Tsai, Y. F. Wang, Y. S. Huang, C. K. Lin, Ryan Lu, Jun He Comprehensive device and product level reliability studies on advanced CMOS technologies featuring 7nm high-k metal gate FinFET transistors. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1André Clausner, Simon Schlipf, Gottfried Kurz, Michael Otto, Jens Paul, Kay-Uwe Giering, Jens Warmuth, André Lange, Roland Jancke, Andreas Aal, Rüdiger Rosenkranz, Martin Gall, Ehrenfried Zschech Analysis of 28 nm SRAM cell stability under mechanical load applied by nanoindentation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kento Kakikawa, Yuji Yamagishi, Yasuo Cho, Katsuto Tanahashi, Hidetaka Takato Charge state evaluation of passivation layers for silicon solar cells by scanning nonlinear dielectric microscopy. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ming-Yi Lee, T.-Y. Chang, W.-F. Hsueh, Li-Kuang Kuo, Ding-Jhang Lin, Yen-Hai Chao, U. J. Tzeng, Chih-Yuan Lu Electrical method to localize the high-resistance of nanoscale CoSi2 word-line for OTP memories. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license