The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase field-programmable (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (48) 1993 (25) 1994 (85) 1995 (144) 1996 (114) 1997 (147) 1998 (245) 1999 (245) 2000 (258) 2001 (215) 2002 (352) 2003 (425) 2004 (502) 2005 (500) 2006 (574) 2007 (528) 2008 (530) 2009 (382) 2010 (371) 2011 (305) 2012 (339) 2013 (401) 2014 (381) 2015 (329) 2016 (316) 2017 (314) 2018 (308) 2019 (363) 2020 (295) 2021 (273) 2022 (258) 2023 (251) 2024 (58)
Publication types (Num. hits)
article(895) book(3) incollection(9) inproceedings(8833) phdthesis(30) proceedings(111)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3154 occurrences of 1228 keywords

Results
Found 9881 publication records. Showing 9881 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Sushil Chandra Jain, Anshul Kumar, Shashi Kumar Hybrid Multi-FPGA Board Evaluation by Limiting Multi-Hop Routing. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Charles E. Stroud, Jeremy Nall, Matthew Lashinsky, Miron Abramovici BIST-Based Diagnosis of FPGA Interconnect. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15W. Shi, K. Kumar, Fabrizio Lombardi On the Complexity of Switch Programming in Fault-Tolerant-Configurable Chips. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Bharat P. Dave CRUSADE: Hardware/Software Co-Synthesis of Dynamically Reconfigurable Heterogeneous Real-Time Distributed Embedded Systems. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Akihiro Tsutsui, Toshiaki Miyazaki ANT-on-YARDS: FPGA/MPU hybrid architecture for telecommunication data processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15David Marple An MPGA-Like FPGA. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Bernard Girau Digital Hardware Implementation of 2D Compatible Neural Networks. Search on Bibsonomy IJCNN (3) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Jun Dong, Tongfei Wang, Yueming Deng, Runmin Wang Adaptive wavelet transform defogging scheme for real-time video restoration with field programmable gate array implementation. Search on Bibsonomy J. Electronic Imaging The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Yadongyang Zhu, Shuguang Zhao, Fudong Zhang, Wei Wei, Fa Zhao Edge-Intelligence-Based Seismic Event Detection Using a Hardware-Efficient Neural Network With Field-Programmable Gate Array. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14George Higgins Hutchinson, Ethan Sifferman, Tinish Bhattacharya, Dmitri B. Strukov FPIA: Field-Programmable Ising Arrays with In-Memory Computing. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Daniel Bochen Tan, Dolev Bluvstein, Mikhail D. Lukin, Jason Cong Compiling Quantum Circuits for Dynamically Field-Programmable Neutral Atoms Array Processors. Search on Bibsonomy Quantum The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Jehyuk Jang, Jamie Judd An Efficient SNARK for Field-Programmable and RAM Circuits. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2024 DBLP  BibTeX  RDF
14Marc Majoral, Javier Arribas, Carles Fernández-Prades Implementation of a High-Sensitivity Global Navigation Satellite System Receiver on a System-on-Chip Field-Programmable Gate Array Platform. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Marco Grossi Efficient and Accurate Analog Voltage Measurement Using a Direct Sensor-to-Digital Port Interface for Microcontrollers and Field-Programmable Gate Arrays. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Gerardo De J. Martinez-Figueroa, Felipe Córcoles-López, Santiago Bogarra Field Programmable Gate Array-Based Smart Switch to Avoid Inrush Current in PV Installations. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Mudasar Basha, Munuswamy Siva Kumar, Mangali Chinna Chinnaiah, Siew-Kei Lam, Thambipillai Srikanthan, Narambhatla Janardhan, Dodde Hari Krishna, Sanjay Dubey Hardware Schemes for Smarter Indoor Robotics to Prevent the Backing Crash Framework Using Field Programmable Gate Array-Based Multi-Robots. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Yan Wang 0028, Peirui Liu, Dalin Li, Kangping Wang, Rui Zhang An Image Histogram Equalization Acceleration Method for Field-Programmable Gate Arrays Based on a Two-Dimensional Configurable Pipeline. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Jorge Jiménez-Sánchez, Pedro Blanco-Carmona, Jose Maria Hinojo Montero, Francisco Rogelio Palomo, Rafael Luis Millán, Fernando Muñoz Chavero Advanced System-on-Chip Field-Programmable-Gate-Array-Powered Data Acquisition System for Pixel Detectors. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Wen-Chung Tsai Field-Programmable Gate Array-Based Implementation of Zero-Trust Stream Data Encryption for Enabling 6G-Narrowband Internet of Things Massive Device Access. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Bo Cui, Lingyun Wang, Guangxi Li, Xian Ren Field Programmable Gate Array-Based Acceleration Algorithm Design for Dynamic Star Map Parallel Computing. Search on Bibsonomy Algorithms The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Zhiru Zhang, Andrew Putnam (eds.) Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2024, Monterey, CA, USA, March 3-5, 2024 Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Tomoya Ogawa, Ken Matsubara, Yasuhiko Taito, Tomoya Saito, Masayuki Izuna, Koichi Takeda, Yoshinobu Kaneda, Takahiro Shimoi, Hidenori Mitani, Takashi Ito, Takashi Kono 15.8 A 22nm 10.8Mb Embedded STT-MRAM Macro Achieving over 200MHz Random-Read Access and a 10.4MB/s Write Throughput with an In-Field Programmable 0.3Mb MTJ-OTP for High-End MCUs. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Mahdi Abbaszadeh, Dana L. How From Topology to Realization in FPGA/VPR Routing. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Louis-Noël Pouchet, Emily Tucker, Niansong Zhang, Hongzheng Chen, Debjit Pal, Gabriel Rodríguez 0001, Zhiru Zhang Formal Verification of Source-to-Source Transformations for HLS. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Shaoxian Xu, Sitong Lu, Zhiyuan Shao, Xiaofei Liao, Hai Jin 0001 MiCache: An MSHR-inclusive Non-blocking Cache Design for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Hongzheng Chen, Jiahao Zhang, Yixiao Du, Shaojie Xiang, Zichao Yue, Niansong Zhang, Yaohui Cai, Zhiru Zhang A Comprehensive Evaluation of FPGA-Based Spatial Acceleration of LLMs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Greg Stitt, Wesley Piard, Christopher Crary Low-Latency, Line-Rate Variable-Length Field Parsing for 100+ Gb/s Ethernet. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Zhigang Wei, Aman Arora, Emily Shriver, Lizy Kurian John Cross-FPGA Power Estimation from High Level Synthesis via Transfer-Learning. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Jiahui Xu, Lana Josipovic Suppressing Spurious Dynamism of Dataflow Circuits via Latency and Occupancy Balancing. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Xiaoyu Niu, Yanjun Zhang, Yifan Zhang, Hongzheng Tian, Bo Yu 0014, Shaoshan Liu, Sitao Huang Accelerating Autonomous Path Planning on FPGAs with Sparsity-Aware HW/SW Co-Optimizations. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Youwei Xiao, Zizhang Luo, Kexing Zhou, Yun Liang 0001 Cement: Streamlining FPGA Hardware Design with Cycle-Deterministic eHDL and Synthesis. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Dongjoon Park, André DeHon REFINE: Runtime Execution Feedback for INcremental Evolution on FPGA Designs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Shulin Zeng, Jun Liu, Guohao Dai, Xinhao Yang, Tianyu Fu 0004, Hongyi Wang, Wenheng Ma, Hanbo Sun, Shiyao Li, Zixiao Huang, Yadong Dai, Jintao Li, Zehao Wang, Ruoyu Zhang, Kairui Wen, Xuefei Ning, Yu Wang FlightLLM: Efficient Large Language Model Inference with a Complete Mapping Flow on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Thore Gerlach, Stefan Knipp, David Biesner, Stelios Emmanouilidis, Klaus Hauber, Nico Piatkowski FPGA-Placement via Quantum Annealing. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Timothy Sherwood Security, Synapses, Sustainability, and Superconducting: A Look at Possible Futures for the FPGA. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Andy Ray, Benjamin Devlin, Fu Yong Quah, Rahul Yesantharao Hardcaml: An OCaml Hardware Domain-Specific Language for Efficient and Robust Design. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Prabhat K. Gupta My Fifteen Year Journey of Deploying FPGA Accelerated Solutions. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Hui Wei 0001, Jingyong Ye, Yutong Chen, Heng Wu Design and Implementation of a Primary Visual Cortex Pathway Model Based on Opponent-process Theory. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Zhenyu Xu, Miaoxiang Yu, Jillian Cai, Saddam Gafsi, Judson Douglas Ryckman, Qing Yang 0001, Tao Wei An FPGA-Enabled Framework for Rapid Automated Design of Photonic Integrated Circuits. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Will Lin, Yizhou Shan, Ryan Kosta, Arvind Krishnamurthy, Yiying Zhang 0005 SuperNIC: An FPGA-Based, Cloud-Oriented SmartNIC. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Qizhe Wu, Letian Zhao, Yuchen Gui, Huawen Liang, Xiaotian Wang, Xi Jin 0002 Efficient Message Passing Architecture for GCN Training on HBM-based FPGAs with Orthogonal Topology On-Chip Networks. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Muhammed Kawser Ahmed, Christophe Bobda ISO-TENANT: Rethinking FPGA Power Distribution Network (PDN): A Hardware Based Solution for Remote Power Side Channel Attacks in FPGA. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Manoj B. Rajashekar, Xingyu Tian, Zhenman Fang HiSpMV: Hybrid Row Distribution and Vector Buffering for Imbalanced SpMV Acceleration on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Geng Yang, Jie Lei 0001, Zhenman Fang, Jiaqing Zhang, Junrong Zhang, Weiying Xie, Yunsong Li E4SA: An Ultra-Efficient Systolic Array Architecture for 4-Bit Convolutional Neural Networks. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Yan Chen, Kiyofumi Tanaka A Flexible, Fast, Low Bandwidth Block-based Acceleration Architecture for CNN Inference on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Zifan He, Linghao Song, Robert F. Lucas, Jason Cong LevelST: Stream-based Accelerator for Sparse Triangular Solver. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Xiaochen Hao, Hongbo Rong, Mingzhe Zhang, Ce Sun, Hong H. Jiang, Yun Liang 0001 POPA: Expressing High and Portable Performance across Spatial and Vector Architectures for Tensor Computations. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Sahand Kashani, Mahyar Emami, Keisuke Kamahori, Mohammad Sepehr Pourghannad, Ritik Raj, James R. Larus A 475 MHz Manycore FPGA Accelerator for RTL Simulation. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Jinming Zhuang, Zhuoping Yang, Shixin Ji, Heng Huang, Alex K. Jones, Jingtong Hu, Yiyu Shi 0001, Peipei Zhou 0001 SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Hassan Nassar, Philipp Machauer, Dennis R. E. Gnad, Lars Bauer, Mehdi B. Tahoori, Jörg Henkel Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Yiyue Jiang, Andrius Vaicaitis, John Dooley, Miriam Leeser Efficient Neural Networks on the Edge with FPGAs by Optimizing an Adaptive Activation Function. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Martin Langhammer, George A. Constantinides A Statically and Dynamically Scalable Soft GPGPU. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Andy Ray, Benjamin Devlin, Fu Yong Quah, Rahul Yesantharao Hardcaml MSM: A High-Performance Split CPU-FPGA Multi-Scalar Multiplication Engine. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Yizhao Gao, Baoheng Zhang, Yuhao Ding, Hayden Kwok-Hay So A Composable Dynamic Sparse Dataflow Architecture for Efficient Event-based Vision Processing on FPGA. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Mark Klaisoongnoen, Nick Brown 0002, Tim Dykes, Jessica R. Jones, Utz-Uwe Haus Evaluating Versal AI Engines for Option Price Discovery in Market Risk Analysis. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Shengjun Xu, Wenlu Peng, Wenjin Huang, Qi Liu, Yihua Huang 0005 HR-GCN: An Efficient GCN Accelerator for Heterogeneous Graph Data and R-GCN Model. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Ayatallah Elakhras, Andrea Guerrieri, Lana Josipovic, Paolo Ienne Survival of the Fastest: Enabling More Out-of-Order Execution in Dataflow Circuits. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Stéphane Pouget, Louis-Noël Pouchet, Jason Cong Automatic Hardware Pragma Insertion in High-Level Synthesis: A Non-Linear Programming Approach. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Chunyou Su, Linfeng Du, Tingyuan Liang, Zhe Lin, Maolin Wang, Sharad Sinha, Wei Zhang 0012 GraFlex: Flexible Graph Processing on FPGAs through Customized Scalable Interconnection Network. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Ruifan Xu, Jin Luo, Yun Liang 0001 Hermes: Enhancing Extensibility in High-Level Synthesis through Multi-Level IRs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Kai Qian, Zheng Liu, Yinqiu Liu, Haodong Lu 0001, Zexu Zhang, Ruiqiu Chen, Kun Wang 0005 AutoHammer: Breaking the Compilation Wall Between Deep Neural Network and Overlay-based FPGA Accelerator. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Daniel Gerlinghoff, Benjamin Chen Ming Choong, Rick Siow Mong Goh, Weng-Fai Wong, Tao Luo 0014 Table-Lookup MAC: Scalable Processing of Quantised Neural Networks in FPGA Soft Logic. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Andrea Guerrieri, Srijeet Guha, Lana Josipovic, Paolo Ienne DynaRapid: From C to FPGA in a Few Seconds. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Zelin Wang, Guiyuan Zhu, Yunhai Liu, Yisong Chang, Ke Zhang 0017, Mingyu Chen 0001 XUNI: Virtual Machine Abstraction for Self-contained and Multi-tenant Cloud FPGAs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Alireza Khataei, Kia Bazargan CompressedLUT: An Open Source Tool for Lossless Compression of Lookup Tables for Function Evaluation and Beyond. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
14Shuo Ni, Xin Wei, Ning Zhang, He Chen Algorithm-Hardware Co-Optimization and Deployment Method for Field-Programmable Gate-Array-Based Convolutional Neural Network Remote Sensing Image Processing. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Guiming Wu, Qianwen He, Jiali Jiang, Zhenxiang Zhang, Yunfeng Shi, Xin Long, Linquan Jiang, Shuangchen Li, Yuan Xie 0001, Changzheng Wei, Yuan Zhao, Ying Yan 0002, Hui Zhang 0002, Yinchao Zou E-Booster: A Field-Programmable Gate Array-Based Accelerator for Secure Tree Boosting Using Additively Homomorphic Encryption. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Atsutake Kosuge, Yao-Chung Hsu, Rei Sumikawa, Mototsugu Hamada, Tadahiro Kuroda, Tomoe Ishikawa A 10.7-µJ/Frame 88% Accuracy CIFAR-10 Single-Chip Neuromorphic Field-Programmable Gate Array Processor Featuring Various Nonlinear Functions of Dendrites in the Human Cerebrum. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Pankaj Singh, Rupali Singh A sliced architecture using novel configurable logic modules in quantum dot cellular automata for application of field-programmable gate arrays. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Yusuke Matsuoka Implementation of Various Chaotic Spiking Oscillators Based on Field Programmable Analog Array. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Shaan Sengupta, Matthew L. Johnston A SiPM-Based Gamma Spectrometer With Field-Programmable Energy Binning for Data-Efficient Isotope Analysis. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Ionel Zagan, Vasile Gheorghita Gaitan Soft-core processor integration based on different instruction set architectures and field programmable gate array custom datapath implementation. Search on Bibsonomy PeerJ Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Sundarapandian Vaidyanathan, Khaled Benkouider, Brisbane Ovilla-Martinez, Esteban Tlelo-Cuautle, Aceng Sambas, P. Darwin A new 4-D hyperchaotic two-scroll system with hidden attractor and its field-programmable gate array implementation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Viswanadham Baby Koti Lakshmi Aruna, Chitra Ekambaram, Mididoddi Padmaja Field programmable gate array implementation of an adaptive filtering based noise reduction and enhanced compression technique for healthcare applications. Search on Bibsonomy Trans. Emerg. Telecommun. Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Hanrui Wang 0002, Bochen Tan, Pengyu Liu, Yilian Liu, Jiaqi Gu, Jason Cong, Song Han 0003 Q-Pilot: Field Programmable Quantum Array Compilation with Flying Ancillas. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Thomas Jagielski, Rajit Manohar, Jaijeet Roychowdhury FPIM: Field-Programmable Ising Machines for Solving SAT. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Hanrui Wang 0002, Pengyu Liu, Bochen Tan, Yilian Liu, Jiaqi Gu, David Z. Pan, Jason Cong, Umut A. Acar, Song Han 0003 FPQA-C: A Compilation Framework for Field Programmable Qubit Array. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Paritosh Meihar, Rowtu Srinu, Sandip Lashkare, Ajay Kumar Singh, Halid Mulaosmanovic, Veeresh Deshpande, Stefan Dünkel, Sven Beyer, Udayan Ganguly Ferroelectric MirrorBit-Integrated Field-Programmable Memory Array for TCAM, Storage, and In-Memory Computing Applications. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Daniel Bochen Tan, Dolev Bluvstein, Mikhail D. Lukin, Jason Cong Compiling Quantum Circuits for Dynamically Field-Programmable Neutral Atoms Array Processors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Frances Cleary, Witawas Srisa-an, David C. Henshall, Sasitharan Balasubramaniam Dynamic Field Programmable Logic-Driven Soft Exosuit. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Saber Krim, Mohamed Faouzi Mimouni Design and Xilinx Virtex-field-programmable gate array for hardware in the loop of sensorless second-order sliding mode control and model reference adaptive system-sliding mode observer for direct torque control of induction motor drive. Search on Bibsonomy J. Syst. Control. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Priyadarshini B. Indira, Reddy D. Krishna Adaptive octopus deep transfer learning based epileptic seizure classification on field programmable gate arrays. Search on Bibsonomy Evol. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Marc Majoral, Carles Fernández-Prades, Javier Arribas A Flexible System-on-Chip Field-Programmable Gate Array Architecture for Prototyping Experimental Global Navigation Satellite System Receivers. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14M. N. Sharada Guptha, M. N. Eshwarappa Optimized Deep Learning-Based Fully Resolution Convolution Neural Network for Breast Tumour Segmentation on Field Programmable Gate Array. Search on Bibsonomy Comput. methods Biomech. Biomed. Eng. Imaging Vis. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Silas Bartel, Matthias Korch Generation of logic designs for efficiently solving ordinary differential equations on field programmable gate arrays. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Paolo Ienne, Zhiru Zhang (eds.) Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2023, Monterey, CA, USA, February 12-14, 2023 Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Trevor C. Smith, Sergey Edward Lyshevski Field-Programmable Gate Array Control of DC-DC Switching Regulators: Design and Implementation of Controllers. Search on Bibsonomy ACC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Nele Mentens, Leonel Sousa, Pedro Trancoso, Nikela Papadopoulou, Ioannis Sourdis (eds.) 33rd International Conference on Field-Programmable Logic and Applications, FPL 2023, Gothenburg, Sweden, September 4-8, 2023 Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2023, Marina Del Rey, CA, USA, May 8-11, 2023 Search on Bibsonomy FCCM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14 International Conference on Field Programmable Technology, ICFPT 2023, Yokohama, Japan, December 12-14, 2023 Search on Bibsonomy ICFPT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Minoru Watanabe Radiation-hardened triple-modular redundant field programmable gate array with a two-phase clock. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14T. P. Abdul Rahoof, Vivek Chaturvedi, Muhammad Shafique 0001 FastCaps: A Design Methodology for Accelerating Capsule Network on Field Programmable Gate Arrays. Search on Bibsonomy IJCNN The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Saman P. Amarasinghe Compiler Support for Structured Data. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Wole Jaiyeoba, Nima Elyasi, Changho Choi, Kevin Skadron ACTS: A Near-Memory FPGA Graph Processing Framework. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jiahui Xu, Emmet Murphy, Jordi Cortadella, Lana Josipovic Eliminating Excessive Dynamism of Dataflow Circuits Using Model Checking. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Kan Shi, Shuoxiang Xu, Yuhan Diao, David Boland, Yungang Bao ENCORE: Efficient Architecture Verification Framework with FPGA Acceleration. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Tim Oberschulte, Jakob Marten, Holger Blume Fault Detection on Multi COTS FPGA Systems for Physics Experiments on the International Space Station. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Linus Y. Wong, Jialiang Zhang, Jing Jane Li DONGLE: Direct FPGA-Orchestrated NVMe Storage for HLS. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Shashwat Shrivastava, Stefan Nikolic 0001, Chirag Ravishankar, Dinesh Gaitonde, Mirjana Stojilovic Mitigating the Last-Mile Bottleneck: A Two-Step Approach For Faster Commercial FPGA Routing. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Michael Lo, Young-kyu Choi, Weikang Qiao, Mau-Chung Frank Chang, Jason Cong HMLib: Efficient Data Transfer for HLS Using Host Memory. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 9881 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license