The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Chris Kendrick, Michael Cook 0004, Jeff P. Gambino, T. Myers, J. Slezak, T. Hirano, T. Sano, Y. Watanabe, K. Ozeki Polysilicon resistor stability under voltage stress for safe-operating area characterization. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pengpeng Ren, Changze Liu, Sanping Wan, Jiayang Zhang, Zhuoqing Yu, Nie Liu, Yongsheng Sun, Runsheng Wang, Canhui Zhan, Zhenghao Gan, Waisum Wong, Yu Xia, Ru Huang New insights into the HCI degradation of pass-gate transistor in advanced FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sol-Kyu Lee, Kyung-Tae Jang, Seol-Min Yi, Young-Chang Joo Successive breakdown mode of time-dependent dielectric breakdown for Cu interconnects and lifetime enhancement under dynamic bias stress. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lucile Arnaud, Stéphane Moreau, Amadine Jouve, Imed Jani, Didier Lattard, F. Fournel, C. Euvrard, Y. Exbrayat, Viorel Balan, Nicolas Bresson, S. Lhostis, J. Jourdon, E. Deloffre, S. Guillaumet, Alexis Farcy, Simon Gousseau, M. Arnoux Fine pitch 3D interconnections with hybrid bonding technology: From process robustness to reliability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kirby K. H. Smithe, Zhongwei Zhu, Connor S. Bailey, Eric Pop, Alex Yoon Investigation of monolayer MX2 as sub-nanometer copper diffusion barriers. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kai-Hsin Chuang, Erik Bury, Robin Degraeve, Ben Kaczer, T. Kallstenius, Guido Groeseneken, Dimitri Linten, Ingrid Verbauwhede A multi-bit/cell PUF using analog breakdown positions in CMOS. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Younggeun Ji, Jeonghoon Kim, Jungin Kim, Miji Lee, Jaeheon Noh, Taeyoung Jeong, Juhyeon Shin, Junho Kim, Young Heo, Ung Cho, Hyun-Chul Sagong, Junekyun Park, Yeonsik Choo, Gilhwan Do, Hoyoung Kang, Eunkyeong Choi, Dongyoon Sun, Changki Kang, Sangchul Shin, Sangwoo Pae Reliability characterization of advanced CMOS image sensor (CIS) with 3D stack and in-pixel DTI. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1P. C. Su, C. M. Jiang, C. W. Wang, Tahui Wang Correlation between SET-state current level and read-disturb failure time in a resistive switching memory. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Amit A. Kale, Amit Marathe, Ajay Kamath Machine learning based dynamic cause maps for condition monitoring and life estimation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tian Shen, Kong Boon Yeap, Sean P. Ogden, Cathryn Christiansen, Patrick Justison New insight on TDDB area scaling methodology of non-Poisson systems. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pai-Yu Chen, Shimeng Yu Reliability perspective of resistive synaptic devices on the neuromorphic system performance. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1David Z. Gao, Jack Strand, Al-Moatasem El-Sayed, Alexander L. Shluger, Andrea Padovani, Luca Larcher Role of electron and hole trapping in the degradation and breakdown of SiO2 and HfO2 films. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chia-Chi Fan, Chun-Yuan Tu, Ming-Huei Lin, Chun-Yen Chang, Chun-Hu Cheng, Yen-Liang Chen, Guan-Lin Liou, Chien Liu, Wu-Ching Chou, Hsiao-Hsuan Hsu Interface engineering of ferroelectric negative capacitance FET for hysteresis-free switch and reliability improvement. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Omar Chihani, Loic Théolier, Jean-Yves Delétage, Eric Woirgard, Alain Bensoussan 0002, André Durier Temperature and voltage effects on HTRB and HTGB stresses for AlGaN/GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ayanori Ikoshi, Masahiro Toki, Hiroto Yamagiwa, Daijiro Arisawa, Masahiro Hikita, Kazuki Suzuki, Manabu Yanagihara, Yasuhiro Uemoto, Kenichiro Tanaka, Tetsuzo Ueda Lifetime evaluation for Hybrid-Drain-embedded Gate Injection Transistor (HD-GIT) under practical switching operations. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Balaji Narasimham, Saket Gupta, Daniel S. Reed, J. K. Wang, Nick Hendrickson, Hasan Taufique Scaling trends and bias dependence of the soft error rate of 16 nm and 7 nm FinFET SRAMs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1R. E. Stahbush, Nadeemullah A. Mahadik Defects affecting SiC power device reliability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ferdinando Iucolano, Antonino Maurizio Parisi, Santo Reina, Alessandro Chini A novel GaN HEMT degradation mechanism observed during HTST test. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shaofeng Guo, Zhenghan Lin, Runsheng Wang, Zexuan Zhang, Zhe Zhang, Yangyuan Wang, Ru Huang Investigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Baozhen Li, Andrew Kim, Paul McLaughlin, Barry P. Linder, Cathryn Christiansen Electromigration characteristics of power grid like structures. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1C. Zhou, Keith A. Jenkins, P. I. Chuang, Christos Vezyrtzis Effect of HCI degradation on the variability of MOSFETS. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, Takafumi Fukushima, Ji Chel Bea, Hiroyuki Hashimoto, Mitsu Koyanagi Intra- and inter-chip electrical interconnection formed by directed self assembly of nanocomposite containing diblock copolymer and nanometal. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Antoine Laurent, Xavier Garros, Sylvain Barraud, J. Pelloux-Prayer, Mikaël Cassé, Fred Gaillard, X. Federspiel, David Roy 0001, E. Vincent, Gérard Ghibaudo Performance & reliability of 3D architectures (πfet, Finfet, Ωfet). Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Roberta Bottini, Andrea Ghetti, Sara Vigano, Maria Grazia Valentini, Pratap Murali, Chandra Mouli Non-poissonian behavior of hot carrier degradation induced variability in MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andy Fenner, Mark Porter, Randy Crutchfield Making the connection between physics of failure and system-level reliability for medical devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Miky Lee, K. Kim, D. Lim, D. Cho, Ck. Han Weibull cumulative distribution function (CDF) analysis with life expectancy endurance test result of power window switch. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Deniz Kocaay, Philippe Roussel, Kristof Croes, Ivan Ciofi, Alicja Lesniewska, Ingrid De Wolf Method to assess the impact of LER and spacing variation on BEOL dielectric reliability using 2D-field simulations for <20nm spacing. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yuichiro Mitani, Yusuke Higashi, Yasushi Nakasaki Study on mechanism of thermal curing in ultra-thin gate dielectrics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Miaomiao Wang 0006, Richard G. Southwick, Kangguo Cheng, James H. Stathis Lateral profiling of HCI induced damage in ultra-scaled FinFET devices with Id-Vd characteristics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ernest Y. Wu, Andrew Kim, Baozhen Li, James H. Stathis Elapsed-time statistics of successive breakdown in the presence of variability for dielectric breakdown in BEOL/MOL/FEOL applications. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rania Lajmi, Florian Cacho, O. David, Jean-Pierre Blanc, Emmanuel Rouat, Sébastien Haendler, Ph. Benech, Estelle Lauga-Larroze, Sylvain Bourdel Reliability assessment of 4GSP/s interleaved SAR ADC. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Guido Quax, Theo Smedes An integral injector-victim current transfer model for latchup design rule optimization. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kristof Croes, Vladimir Cherman, Melina Lofrano, Houman Zahedmanesh, Luka Kljucar, Mario Gonzalez, Ingrid De Wolf, Zsolt Tökei, Eric Beyne Stress mitigation of 3D-stacking/packaging induced stresses. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jifa Hao, Amartya Ghosh, Mark Rinehimer, Joe Yedinak, Muhammad Ashraful Alam BVDSS (drain to source breakdown voltage) instability in shielded gate trench power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chih-Yi Yang, Tian-Li Wu, Tin-En Hsieh, Edward Yi Chang Investigation of degradation phenomena in GaN-on-Si power MIS-HEMTs under source current and drain bias stresses. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kaustubh Joshi, Shu-Wen Chang, D. S. Huang, P. J. Liao, Yung-Huei Lee Study of dynamic TDDB in scaled FinFET technologies. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kin P. Cheung SiC power MOSFET gate oxide breakdown reliability - Current status. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sami Alghamdi, Mengwei Si, Lingming Yang, Peide D. Ye Low frequency noise in MOS2 negative capacitance field-effect transistor. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Guido T. Sasse Hot carrier induced TDDB in HV MOS: Lifetime model and extrapolation to use conditions. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Y. H. Liu, H. Y. Lin, C. M. Jiang, Tahui Wang, W. J. Tsai, T. C. Lu, K. C. Chen, Chih-Yuan Lu Investigation of data pattern effects on nitride charge lateral migration in a charge trap flash memory by using a random telegraph signal method. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1H. Jiang, H. Zhang, Balaji Narasimham, Lloyd W. Massengill, Bharat L. Bhuva Designing soft-error-aware circuits with power and speed optimization. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Srikanth Jagannathan, Kumar Abhishek, Nihaar N. Mahatme, Ender Yilmaz Design of aging aware 5 Gbps LVDS transmitter for automotive applications. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrea Padovani, Luca Larcher Time-dependent dielectric breakdown statistics in SiO2 and HfO2 dielectrics: Insights from a multi-scale modeling approach. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Giovanni Landi, Carlo Barone, C. Mauro, S. Pagano, Heinz-Christoph Neitzert Evaluation of silicon, organic, and perovskite solar cell reliability with low-frequency noise spectroscopy. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pradeep Lall, Hao Zhang, Rahul Lall PHM of state-of-charge for flexible power sources in wearable electronics with EKF. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Heejin Kim, Hayeon Shin, Jiyoung Park, Youngtae Choi, Jongwoo Park 0001 Statistical modeling and reliability prediction for transient luminance degradation of flexible OLEDs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Christine S. Hau-Riege, Huilin Xu, You-Wen Yau, Manasi S. Kakade, Jianfeng Li, Xiaonan Zhang, Hosain Farr Electromigration of multi-solder ball test structures. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lesly Endrinal, Rakesh Kinger, Lavakumar Ranganathan, Amit Sheth 0001 Solving critical issues in 10nm technology using innovative laser-based fault isolation and DFT diagnosis techniques. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pin-Shiang Chen, Shou-Chung Lee, A. S. Oates, Chee Wee Liu BEOL TDDB reliability modeling and lifetime prediction using critical energy to breakdown. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hyun-Chul Sagong, Hyunjin Kim, Seungjin Choo, Sungyoung Yoon, Hyewon Shim, Sangsu Ha, Tae-Young Jeong, Minhyeok Choe, Junekyun Park, Sangchul Shin, Sangwoo Pae Effects of Far-BEOL anneal on the WLR and product reliability characterization of FinFET process technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Riddhi Jitendrakumar Shah, Florian Cacho, Vincent Huard, Souhir Mhira, D. Arora, Pankaj Agarwal, Shubham Kumar, S. Balaraman, Bijoy Kumar Singh, Lorena Anghel Investigation of speed sensors accuracy for process and aging compensation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fernando L. Aguirre, Sebastián Matías Pazos, Felix Palumbo, Sivan Fadida, Roy Winter, Moshe Eizenberg Impact of forming gas annealing on the degradation dynamics of Ge-based MOS stacks. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wen Liu, Andreas Kerber, Fernando Guarin, Claude Ortolland Cap layer and multi-work-function tuning impact on TDDB/BTI in SOI FinFET devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 IEEE International Reliability Physics Symposium, IRPS 2018, Burlingame, CA, USA, March 11-15, 2018 Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  BibTeX  RDF
1M. Kraatz, Christoph Sander, André Clausner, M. Hauschildt, Yvonne Standke, Martin Gall, Ehrenfried Zschech Analysis of electromigration-induced backflow stresses in Cu(Mn) interconnects using high statistical sampling. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1D. Singh, Oscar D. Restrepo, P. P. Manik, N. Rao Mavilla, H. Zhang, Peter C. Paliwoda, S. Pinkett, Y. Deng, Eduardo Cruz Silva, J. B. Johnson, M. Bajaj, S. Furkay, Z. Chbili, A. Kerber, C. Christiansen, S. Narasimha, E. Maciejewski, S. Samavedam, C.-H. Lin Bottom-up methodology for predictive simulations of self-heating in aggressively scaled process technologies. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Katja Puschkarsky, Tibor Grasser, Thomas Aichinger, Wolfgang Gustin, Hans Reisinger Understanding and modeling transient threshold voltage instabilities in SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1T. W. Lin, S. H. Ku, C. H. Cheng, C. W. Lee, Ijen Huang, Wen-Jer Tsai, T. C. Lu, W. P. Lu, K. C. Chen, Tahui Wang, Chih-Yuan Lu Chip-level characterization and RTN-induced error mitigation beyond 20nm floating gate flash memory. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yi-Pin Fang, Anthony S. Oates Soft errors in 7nm FinFET SRAMs with integrated fan-out packaging. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mitsuhiko Igarashi, Yuuki Uchida, Yoshio Takazawa, Yasumasa Tsukamoto, Koji Shibutani, Koji Nii Study of impact of BTI's local layout effect including recovery effect on various standard-cells in 10nm FinFET. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Thibault Kempf, Vincenzo Della Marca, L. Baron, F. Maugain, Francesco La Rosa, Stephan Niel, Arnaud Régnier, Jean-Michel Portal, Pascal Masson Threshold voltage bitmap analysis methodology: Application to a 512kB 40nm Flash memory test chip. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1F. Griggio, James Palmer, F. Pan, N. Toledo, Anthony Schmitz, Ilan Tsameret, R. Kasim, Gerald S. Leatherman, Jeffery Hicks, A. Madhavan, J. Shin, J. Steigerwald, A. Yeoh, C. Auth Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Brian Kosinski, Ken Dodson Key attributes to achieving > 99.99 satellite availability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anthonin Verdy, Gabriele Navarro, Mathieu Bernard, Sophie Chevalliez, Niccolo Castellani, Emmanuel Nolot, Julien Garrione, Pierre Noe, Guillaume Bourgeois, Veronique Sousa, Marie Claire Cyrille, Etienne Nowak Carbon electrode for Ge-Se-Sb based OTS selector for ultra low leakage current and outstanding endurance. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Devyani Patra, Ahmed Kamal Reza, Mehdi Katoozi, Ethan H. Cannon, Kaushik Roy 0001, Yu Cao 0001 Accelerated BTI degradation under stochastic TDDB effect. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nagothu Karmel Kranthi, Abhishek Mishra, Adil Meersha, Harsha B. Variar, Mayank Shrivastava Defect-Assisted Safe Operating Area Limits and High Current Failure in Graphene FETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1C. Monachon, Marcin Stefan Zielinski, J. Berney, D. Poppitz, Andreas Graff, Steffen Breuer, Lutz Kirste Cathodoluminescence spectroscopy for failure analysis and process development of GaN-based microelectronic devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Souhir Mhira, Vincent Huard, D. Arora, Philippe Flatresse, Alain Bravaix Resilient automotive products through process, temperature and aging compensation schemes. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kangwook Lee 0005 High-density fan-out technology for advanced SiP and 3D heterogeneous integration. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sofie Beyne, Shibesh Dutta, Olalla Varela Pedreira, Niels Bosman, Christoph Adelmann, Ingrid De Wolf, Zsolt Tökei, Kristof Croes The first observation of p-type electromigration failure in full ruthenium interconnects. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1C. Chung, D. Kobayashi, K. Hirose Threshold ion parameters of line-type soft-errors in biased thin-BOX SOI SRAMs: Difference between sensitivities to terrestrial and space radiation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mingoo Seok, Peter R. Kinget, Teng Yang, Jiangyi Li, Doyun Kim Recent advances in in-situ and in-field aging monitoring and compensation for integrated circuits: Invited paper. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Subramanian S. Iyer, Adeel Ahmad Bajwa Reliability challenges in advance packaging. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1P. Srinivasan, Rakesh Ranjan, S. Cimino, A. Zainuddin, B. Kannan, L. Pantisano, I. Mahmud, G. Dilliway, Tanya Nigam Understanding gate metal work function (mWF) impact on device reliability - A holistic approach. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yiming Qu, Ran Cheng, Wei Liu, Junkang Li, Bich-Yen Nguyen, Olivier Faynot, Nuo Xu, Bing Chen, Yi Zhao Effect of measurement speed (μs-800 ps) on the characterization of reliability behaviors for FDSOI nMOSFETs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Peter C. Paliwoda, Zakariae Chbili, A. Kerber, D. Singh, Durga Misra Ambient temperature and layout impact on self-heating characterization in FinFET devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Eduard Cartier, Martin M. Frank, Takashi Ando, John Rozen, Vijay Narayanan PBTI in InGaAs MOS capacitors with Al2O3/HfO2/TiN gate stacks: Interface-state generation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Marta Pedro, Javier Martín-Martínez, E. Miranda, Rosana Rodríguez, Montserrat Nafría, M. B. González, Francesca Campabadal Device variability tolerance of a RRAM-based self-organizing neuromorphic system. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kurt J. Lezon, Shi-Jie Wen, Y.-F. Dan, Richard Wong, Bharat L. Bhuva Single-event effects on optical transceiver. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Timothy J. Silverman, Steve Johnston Permanent shunts from passing shadows: Reverse-bias damage in thin-film photovoltaic modules. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nirmal R. Saxena, Sanu Mathew, Krishna Saraswat Keynote 1: The road to resilient computing in autonomous driving is paved with redundancy. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jay Sarkar, Cory Peterson, Amir Sanayei Machine-learned assessment and prediction of robust solid state storage system reliability physics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1M. Rafik, A. P. Nguyen, Xavier Garros, M. Arabi, X. Federspiel, Cheikh Diouf AC TDDB extensive study for an enlargement of its impact and benefit on circuit lifetime assessment. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Philippe J. Roussel, Adrian Vaisman Chasin, Steven Demuynck, Naoto Horiguchi, Dimitri Linten, Anda Mocuta New methodology for modelling MOL TDDB coping with variability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Toru Sugiyama, Kohei Oasa, Yasunobu Saito, Akira Yoshioka, Takuo Kikuchi, Aya Shindome, Tatsuya Ohguro, Takeshi Hamamoto Evaluation methodology for current collapse phenomenon of GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Arno Stockman, Eleonora Canato, Alaleh Tajalli, Matteo Meneghini, Gaudenzio Meneghesso, Enrico Zanoni, Peter Moens, Benoit Bakeroot On the origin of the leakage current in p-gate AlGaN/GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shouhei Fukuyama, Kazuki Maeda, Shinpei Matsuda, Ken Takeuchi, Ryutaro Yasuhara Suppression of endurance-stressed data-retention failures of 40nm TaOx-based ReRAM. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jia Hao Lim, Nagarajan Raghavan, Sen Mei, Vinayak Bharat Naik, Jae Hyun Kwon, S. M. Noh, B. Liu, E. H. Toh, Nyuk Leong Chung, Robin Chao, K. H. Lee, Kin Leong Pey Area and pulsewidth dependence of bipolar TDDB in MgO magnetic tunnel junction. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pradeep Lall, Kazi Mirza, David Locker Prognostics health management of electronic systems - A reliability physics approach. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1SangHoon Shin, Yen-Pu Chen, Woojin Ahn, Honglin Guo, Byron Williams, Jeff West, Tom Bonifield, Dhanoop Varghese, Srikanth Krishnan, Muhammad Ashraful Alam High voltage time-dependent dielectric breakdown in stacked intermetal dielectrics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tibor Grasser, Bernhard Stampfer, Michael Waltl, Gerhard Rzepa, Karl Rupp, Franz Schanovsky, Gregor Pobegen, Katja Puschkarsky, Hans Reisinger, Barry J. O'Sullivan, Ben Kaczer Characterization and physical modeling of the temporal evolution of near-interfacial states resulting from NBTI/PBTI stress in nMOS/pMOS transistors. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yasunori Tateno, Yasuyo Kurachi, Hiroshi Yamamoto, Takashi Nakabayashi Investigation of the pulsed-IV degradation mechanism of GaN-HEMT under high temperature storage tests. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vamsi Putcha, Jacopo Franco, Abhitosh Vais, Ben Kaczer, S. Sioncke, Dimitri Linten, Guido Groeseneken Impact of slow and fast oxide traps on In0.53Ga0.47As device operation studied using CET maps. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kuo-Hsuan Meng, Mohamed Moosa, Cynthia A. Torres, James W. Miller A case study of ESD trigger circuit: Time-out and stability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kalparupa Mukherjee, Frédéric Darracq, Arnaud Curutchet, Nathalie Malbert, Nathalie Labat Comprehensive study into underlying mechanisms of anomalous gate leakage degradation in GaN high electron mobility transistors. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hyunjin Kim, Minjung Jin, Hyun-Chul Sagong, Jinju Kim, Ukjin Jung, Minhyuck Choi, Junekyun Park, Sangchul Shin, Sangwoo Pae A systematic study of gate dielectric TDDB in FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Krzysztof Domanski Latch-up in FinFET technologies. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cameron McNairy Exascale fault tolerance challenge and approaches. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alok Ranjan 0001, Nagarajan Raghavan, Sean J. O'Shea, Sen Mei, Michel Bosman, Kalya Shubhakar, Kin Leong Pey Mechanism of soft and hard breakdown in hexagonal boron nitride 2D dielectrics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yun Li, K. L. Wang, Shaoyan Di, Peng Huang 0004, Gang Du, Xiao-Yan Liu PBTI evaluation of In0.65Ga0.35As/In0.53Ga0.47As nanowire FETs with Al2O3 and LaAlO3 gate dielectrics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license