The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Philippe Coussy, Emmanuel Casseau, Pierre Bomel, Adel Baganne, Eric Martin 0001 A formal method for hardware IP design and integration under I/O and timing constraints. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IP design and integration, communication interface unit, constrained synthesis, digital signal processing and multimedia applications, SoC
19Qi Huang, Xiaoping Chen, Bingfeng Wang, Ronghai Cai, Kaiyu Qin The Concept of Computing on Chip (CoC) for Electric Power System Application. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CoC, VLSI, SOC, Power System, Transient Simulation
19Jianjun Guo, Kui Dai, Zhiying Wang 0003 A Heterogeneous Multi-core Processor Architecture for High Performance Computing. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TTA, SoC, heterogeneous, multi-core
19Thinh M. Le, Xiaohua Tian, B. L. Ho, J. Nankoo, Yong Lian 0001 System-on-Chip Design Methodology for a Statistical Coder. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical coder, SoC, design methodology, CABAC
19Sung Bum Pan, Daesung Moon, Kichul Kim, Yongwha Chung A VLSI Implementation of Minutiae Extraction for Secure Fingerprint Authentication. Search on Bibsonomy CIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fingerprint authentication, minutiae extraction, VLSI, SoC
19Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF application-specific designs, low-power, NOC, SOC
19Takanori Kyogoku, Junpei Inoue, Hidenari Nakashima, Takumi Uezono, Kenichi Okada, Kazuya Masu Wire Length Distribution Model Considering Core Utilization for System on Chip. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Wire Length Distribution, core utilization, layout-area allocation, SoC
19Charlie Johnson, Jeff Welser Future processors: flexible and modular. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiprocessor, SoC, accelerators
19Andrew Morton, Wayne M. Loucks A hardware/software kernel for system on chip designs. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF operating systems, SoC, hardware/software codesign
19Reiner W. Hartenstein The digital divide of computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF morphware, performance, SoC, reconfigurable computing
19Adit D. Singh, Markus Seuring, Michael Gössel, Egor S. Sogomonyan Multimode scan: Test per clock BIST for IP cores. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC, BIST, scan, digital testing
19Ki Won Lee Low power requirements for future digital life style. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF digital life style, portable power sources, software architecture, components, SOC
19Atsushi Sakai, Takashi Yamada, Yoshifumi Matsushita, Hiroto Yasuura Routing methodology for minimizing 1nterconnect energy dissipation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, SoC, analysis, crosstalk, energy dissipation
19Sunjaya Djaja, Glenn H. Chapman, Desmond Y. H. Cheung, Yves Audet Implementation and Testing of Fault-Tolerant Photodiode-Based Active Pixel Sensor (APS). Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF photodiode APS, fault-tolerance, redundancy, SOC, CMOS image sensor, active pixel sensor
19Ahmed Amine Jerraya, Sungjoo Yoo, Aimen Bouchhima, Gabriela Nicolescu Validation in a Component-Based Design Flow for Multicore SoCs. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF validation, SoC, abstraction levels, component-based design, cosimulation
19Alex K. Jones, Debabrata Bagchi, Satrajit Pal, Xiaoyong Tang, Alok N. Choudhary, Prithviraj Banerjee PACT HDL: a C compiler targeting ASICs and FPGAs with power and performance optimizations. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, low-power, compiler, SoC, synthesis, pipelining, VHDL, IP, ASIC, high-performance, FSM, Verilog, HDL, levelization
19Wu-Tung Cheng Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics
18Ahsan Shabbir, Sander Stuijk, Akash Kumar 0001, Bart D. Theelen, Bart Mesman, Henk Corporaal A predictable communication assist. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga's, communication, predictable, dma, ca, mp-soc
18Yong Chen 0003, Zhengzhi Wang A Neural Network Architecture for Perceptual Grouping, Attention Modulation and Boundary-Surface Interaction. Search on Bibsonomy ISICA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF attention modulation, boundary-surface interaction, SOC filtering, complementary computing, perceptual grouping
18Pradip A. Thaker Holistic verification: myth or magic bullet? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC verification, mixed-signal verification, power management verification, emulation
18Manuel A. Mosquera, Ignacio García-Jurado, M. Gloria Fiestras-Janeiro A note on coalitional manipulation and centralized inventory management. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Centralized multi-agent inventory cost situations, Inventory games, Coalitional manipulation, SOC-rule
18Hans G. Kerkhoff, Jarkko J. M. Huijts Testing of a Highly Reconfigurable Processor Core for Dependable Data Streaming Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable multi-processor-cores SoC, embedded system test, dependable SoCs, ATPG, Design-for-Test, self-repair
18Zhen Zhang, Alain Greiner, Sami Taktak A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2D-Mesh NoC, DSPIN, MP2-SoC, fault-tolerant, reconfiguration, routing algorithm
18Mike P. Papazoglou, Paolo Traverso, Schahram Dustdar, Frank Leymann Service-Oriented Computing: State of the Art and Research Challenges. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SOC paradigm, Web services, service orientation, enterprise services
18Antoine Fraboulet, Tanguy Risset Master Interface for On-chip Hardware Accelerator Burst Communications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC simulation, system on chip, high level synthesis, interface generation
18Grant Martin Making a List...Checking it Twice. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF methodology manual, low power, SoC design, IC design
18Kwang-Ting (Tim) Cheng Cocktail approach to functional verification. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF validation, functional verification, multiprocessor SoC, SiP, BISR
18Letícia Maria Veiras Bolzani, Edgar E. Sánchez, Matteo Sonza Reorda A software-based methodology for the generation of peripheral test sets based on high-level descriptions. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RT-level test metrics, code coverage metrics, gate-level test metrics, test block, fault coverage, SoC testing
18Jaehoon Song, Hyunbean Yi, Juhee Han, Sungju Park An Efficient Link Controller for Test Access to IP Core-Based Embedded System Chips. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Embedded System, Wrapper, Boundary Scan, Test Access Mechanism, SoC Testing
18Ali El Kateeb, Lubna Al Azzawi Low Cost HIV Testing System for Tele-Health Applications. Search on Bibsonomy AINA Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HIV kits, HIV screening, Field programmable gate array (FPGA), System-on-chip (SOC)
18Paolo Bernardi, Letícia Maria Veiras Bolzani, Maurizio Rebaudengo, Matteo Sonza Reorda, Fabian Vargas 0001, Massimo Violante A New Hybrid Fault Detection Technique for Systems-on-a-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SoC dependability, transient fault detection, infrastructure IP
18Marius Bonaciu, Aimen Bouchhima, Mohamed-Wassim Youssef, Xi Chen, Wander O. Cesário, Ahmed Amine Jerraya High-level architecture exploration for MPEG4 encoder with custom parameters. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessors SOC architecture, customization, video encoder, architecture exploration, MPEG4
18Sang-Hyun Park, Hoon Choi, Sang-Han Lee, Taejoo Chang The High-Speed Packet Cipher System Suitable for Small Sized Data. Search on Bibsonomy IWSEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Packet Cipher, SoC(System on Chip), Cryptographic module, Security API
18Enrico Formenti, Benoît Masson, Theophilos Pisokas On Symmetric Sandpiles. Search on Bibsonomy ACRI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SOC systems, sandpiles, fixed point dynamics, discrete dynamical systems
18Yinhe Han 0001, Xiaowei Li 0001, Huawei Li 0001, Anshuman Chandra Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Tester Channels Reduction. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test resource partitioning (TRP), error cancellation, System-on-a-Chip (SoC), diagnose, response compaction
18Hoonmo Yang, Moonkey Lee Embedded Processor Validation Environment Using a Cycle-Accurate Retargetable Instruction-Set Simulator. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cycle-accurate, validation, system-on-chip (SoC), architecture description language (ADL), retargetable, instruction-set simulator
18 DATC Newsletter. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF disruptive technology, sustaining technology, digital synthesis, EDA, SoC design, Design Automation Conference
18Julien Denoulet, Ghilès Mostafaoui, Lionel Lacassagne, Alain Mérigot Implementing Motion Markov Detection on General Purpose Processor and Associative Mesh. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vision-SoC, associative nets model, Markov Random Field, SIMD, motion detection
18John Wei, Chris Rowen Implementing low-power configurable processors: practical options and tradeoffs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PVT (process, voltage, temperature), configurable embedded processor, dynamic power efficiency, scaled VDD, low-power, leakage power, SOC (system on chip), dynamic power
18Philippe Royannez, Hugh Mair, Franck Dahan, Mike Wagner, Mark Streeter, Laurent Bouetel, Joel Blasquez, H. Clasen, G. Semino, Julie Dong, D. Scott, B. Pitts, Claudine Raibaut, Uming Ko A design platform for 90-nm leakage reduction techniques. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power management, wireless application processor, SoC design
18Érika F. Cota, Luigi Carro, Marcelo Lubaszewski, Alex Orailoglu Searching for Global Test Costs Optimization in Core-Based Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design space exploration, design for test, SOC testing, embedded cores testing
18Érika F. Cota, Luigi Carro, Marcelo Lubaszewski Reusing an on-chip network for the test of core-based systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF TAM and wrapper design, test reuse, network-on-chip, test scheduling, SoC test, Core-based test
18Antoine Fraboulet, Tanguy Risset Efficient On-Chip Communications for Data-Flow IPs. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SoC simulation, system on chip, High level synthesis, interface generation
18Christian Berthet Going mobile: the next horizon for multi-million gate designs in the semi-conductor industry. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SoC design, HW/SW co-design
18Tek Jau Tan, Chung-Len Lee Socillator Test: A Delay Test Scheme for Embedded ICs in the Boundary-Scan Environment. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Oscillation test, Delay testing, System test, SOC testing, Embedded testing
18Kuen-Jong Lee, Cheng-I Huang A hierarchical test control architecture for core based design. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hierarchical test control architecture, SOC design, IEEE P1500 Working Group, test standard, IEEE 1149.1 cores, parallel testing capabilities, hierarchical test control mechanism, deeply embedded cores, hierarchical test access, integrated circuit testing, design for testability, automatic testing, application specific integrated circuits, IEEE standards, test architecture, core based design
17Cheng-Hong Li, Luca P. Carloni Leveraging Local Intracore Information to Increase Global Performance in Block-Based Design of Systems-on-Chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Fei Zhang 0002, Guangjun Liu, Lijin Fang Battery state estimation using Unscented Kalman Filter. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yu-Wei Yang, Katherine Shu-Min Li Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Andreas Hansson 0001, Kees Goossens An on-chip interconnect and protocol stack for multiple communication paradigms and programming models. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF system on chip, network on chip, programming model, protocol stack
17Ali Ahmadinia, Tughrul Arslan, Hernando Fernandez-Canque Optimization of Reconfigurable Multi-core SOCs for Multi-standard Applications. Search on Bibsonomy KES (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Youssef Benabboud, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Laroussi Bouzaida, Isabelle Izaute A case study on logic diagnosis for System-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Sören Sonntag, Wenjian Wang Area and power consumption estimations at system level with SystemQ 2.0. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SystemQ, area and power estimation, modeling, synthesis, electronic system level
17Tom Borgstrom, Eshel Haritan, Ron Wilson, David Abada, Andrew Dauman, Ramesh Chandra, Olivier Mielo, Chuck Cruse, Achim Nohl System prototypes: virtual, hardware or hybrid? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SystemC TLM, hardware/software co-verification, rapid prototype, embedded software, virtual prototype, virtual platform, system validation, FPGA prototype, system prototype
17Mydhili K. Nair, Shishir M. Kakaraddi, Keerthi M. Ramanarayan, V. Gopalakrishna Agent with Rule Engine: The "Glue' for Web Service Oriented Computing Applied to Network Management. Search on Bibsonomy IEEE SCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ozgur Sinanoglu Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test power reduction, Scan power reduction, Serial transformations, Scan chain modification, Design for testability, Core-based testing
17Iyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: ECG prototype architectural design space exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware space exploration, embedded system design, Multiprocessor system-on-chip, real time analysis, electrocardiogram algorithms
17Milos Drutarovský, Michal Varchola Cryptographic System on a Chip based on Actel ARM7 Soft-Core with Embedded True Random Number Generator. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Antonio Deledda, Claudio Mucci, Arseni Vitkovski, Philippe Bonnot 0001, Arnaud Grasset, Philippe Millet, Matthias Kühnle, Florian Ries, Michael Hübner 0001, Jürgen Becker 0001, Massimo Coppola, Lorenzo Pieralisi, Riccardo Locatelli, Giuseppe Maruccia, Fabio Campi, Tommaso DeMarco Design of a HW/SW Communication Infrastructure for a Heterogeneous Reconfigurable Processor. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hai Yu, Fan Xiaoya Mitigating Soft Errors in System-on-Chip Design. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Roman Belter, Rolf Kluge Towards Distributed Management of Service-Oriented Computing Infrastructures. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Management infrastructure, Service management, Service lifecycle
17Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser MARTE based modeling approach for Partial Dynamic Reconfigurable FPGAs. Search on Bibsonomy ESTIMedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Adedoyin Maria Thompson, Bernd Porr, Christoph Kolodziejski, Florentin Wörgötter Second Order Conditioning in the Sub-cortical Nuclei of the Limbic System. Search on Bibsonomy SAB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dopamine, three factor ISO Learning, Conditioning, Hebbian learning
17Jindong Liu, Harry R. Erwin, Stefan Wermter, Mahmoud Elsaid A Biologically Inspired Spiking Neural Network for Sound Localisation by the Inferior Colliculus. Search on Bibsonomy ICANN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Rupsa Chakraborty, Dipanwita Roy Chowdhury coreBIST: A Cellular Automata Based Core for Self Testing System-on-Chips. Search on Bibsonomy ACRI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Response-Analyzer, Built-in self-test, System-on-Chip, Cellular Automata, Test-Pattern-Generator
17Roman Belter Towards a Service Management System in Virtualized Infrastructures. Search on Bibsonomy IEEE SCC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Management infrastructure, Web service, Service-oriented Computing, Service Management, Service lifecycle
17Daniel Singer Linearly ordered semigroups for fuzzy set theory. Search on Bibsonomy Ann. Math. Artif. Intell. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Mathematics Subject Classifications (2000) 03E04, 03E72, 03G25, 06B30, 22A15, 18B40, 03G10, 06F05, 06B35
17Donghyun Kim, Kwanho Kim, Joo-Young Kim 0001, Seungjin Lee 0001, Hoi-Jun Yoo Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Dam Sunwoo, Hassan Al-Sukhni, Jim Holt, Derek Chiou Early Models for System-Level Power Estimation. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andreas Hansson 0001, Martijn Coenen, Kees Goossens Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ozgur Sinanoglu, Tsvetomir Petrov A non-intrusive isolation approach for soft cores. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Fawnizu Azmadi Hussin, Tomokazu Yoneda, Alex Orailoglu, Hideo Fujiwara Core-Based Testing of Multiprocessor System-on-Chips Utilizing Hierarchical Functional Buses. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Bradley R. Quinton, Steven J. E. Wilton Embedded Programmable Logic Core Enhancements for System Bus Interfaces. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andreas Hansson 0001, Martijn Coenen, Kees Goossens Channel trees: reducing latency by sharing time slots in time-multiplexed networks on chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality-of-service, system-on-chip, network-on-chip, time-division-multiplexing
17Grzegorz M. Wójcik, Wieslaw A. Kaminski, Piotr Matejanka Self-organised Criticality in a Model of the Rat Somatosensory Cortex. Search on Bibsonomy PaCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Soo Ho Chang, Hyun Jung La, Jeong Seop Bae, Won Young Jeon, Soo Dong Kim Design of a Dynamic Composition Handler for ESB-based Services. Search on Bibsonomy ICEBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mikko Raatikainen, Katrine Jokinen, Paavo Kotinurmi, Varvana Myllärniemi Service Composition Using Product Configuration Technology. Search on Bibsonomy ICEBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Chih-Da Chien, Chih-Wei Wang, Chiun-Chau Lin, Tien-Wei Hsieh, Yuan-Hwa Chu, Jiun-In Guo A Low Latency Memory Controller for Video Coding Systems. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sam Chung, Joseph Byung Chul An, Sergio Davalos Service-Oriented Software Reengineering: SoSR. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Matthieu Tuna, Mounir Benabdenbi, Alain Greiner At-Speed Testing of Core-Based System-on-Chip Using an Embedded Micro-Tester. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Dan Luo 0001, Longbing Cao, Jiarui Ni, Li Liu 0033 Building Agent Service Oriented Multi-Agent Systems. Search on Bibsonomy KES-AMSTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jacob A. Abraham, Daniel G. Saab Tutorial T4A: Formal Verification Techniques and Tools for Complex Designs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Nikhil Bansal 0003, Kanishka Lahiri, Anand Raghunathan Automatic Power Modeling of Infrastructure IP for System-on-Chip Power Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Puranjoy Bhattacharya Tutorial IND1A: NeXperia - A Versatile Configurable Platform for Home and Mobile Computing. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Subhomoy Chattopadhyay, Rakesh Patel Tutorial T3: Low Power Design Techniques for Nanometer Design Processes - 65nm and Smaller. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sandeep Jain, Jais Abraham, Srinivas Kumar Vooka, Sumant Kale, Amit Dutta, Rubin A. Parekhji Enhancements in Deterministic BIST Implementations for Improving Test of Complex SOCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Francine Bacchini, Daniel D. Gajski, Laurent Maillet-Contoz, Haruhisa Kashiwagi, Jack Donovan, Tommi Mäkeläinen, Jack Greenbaum, Rishiyur S. Nikhil TLM: Crossing Over From Buzz To Adoption. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Fabricio B. Goncalves, Carlo E. T. Oliveira, Izalmo Silva, Luiz G. L. Moura, Felipe Maia Galvão França A Software Architecture for the Provisioning of Mobile Services in Peer-to-Peer Environments. Search on Bibsonomy ICIW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andrew B. T. Hopkins, Klaus D. McDonald-Maier Debug Support Strategy for Systems-on-Chips with Multiple Processor Cores. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessor systems, System architectures, real-time and embedded systems, debugging aids, integration and modeling
17Noah Bamford, Rekha Bangalore, Eric Chapman, Hector Chavez, Rajeev Dasari, Yinfang Lin, Edgar Jimenez Challenges in System on Chip Verification. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jianmin Zhang, Ming Yan 0003, Sikun Li Debug Support for Scalable System-on-Chip. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaoyu Ruan, Rajendra S. Katti An Efficient Data-Independent Technique for Compressing Test Vectors in Systems-on-a-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17D. Shaver Next generation architectures can dramatically reduce the 4G deployment cycle. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Muhammad Waseem, Ludovic Apvrille, Rabéa Ameur-Boulifa, Sophie Coudert, Renaud Pacalet Abstract Application Modeling for System Design Space Exploration. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ari Kulmala, Timo D. Hämäläinen, Marko Hännikäinen Comparison of GALS and Synchronous Architectures with MPEG-4 Video Encoder on Multiprocessor System-on-Chip FPGA. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mrinmoy Ghosh, Emre Özer 0001, Stuart Biles, Hsien-Hsin S. Lee Efficient System-on-Chip Energy Management with a Segmented Bloom Filter. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Masafumi Onouchi, Tetsuya Yamada, Kimihiro Morikawa, Isamu Mochizuki, Hidetoshi Sekine A system-level power-estimation methodology based on IP-level modeling, power-level adjustment, and power accumulation. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Balasubramanian Sethuraman Novel Methodologies for Performance & Power Efficient Reconfigurable Networks-on-Chip. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Fabio Campi, Paolo Zoffoli, Claudio Mucci, Massimo Bocchi, Antonio Deledda, Mario de Dominicis, Arseni Vitkovski A stream register file unit for reconfigurable processors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license