The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ALUs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1998 (15) 1999-2001 (16) 2002-2004 (24) 2005-2006 (16) 2007-2008 (18) 2009-2022 (10)
Publication types (Num. hits)
article(25) inproceedings(74)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 111 occurrences of 102 keywords

Results
Found 100 publication records. Showing 99 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
75Monica Alderighi, Sergio D'Angelo, Giacomo R. Sechi, Cecilia Metra Achieving Fault-Tolerance by Shifted and Rotated Operands in TMR Non-Diverse ALUs. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51B. Hamdi, Hakim Bederr, Michael Nicolaidis A tool for automatic generation of self-checking data paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-checking data paths, ALUs, shifters, double rail checkers, logic testing, built-in self test, microprocessors, adders, circuit CAD, multipliers, microcontrollers, register files, circuit design, CAD tools, automatic generation, automatic test software, dividers, circuit testing, parity checkers
51Samiye Mete, Sevcan Fata, Merlinda Alus Tokat Feelings, opinions and experiences of Turkish women with infertility: A qualitative study. Search on Bibsonomy Health Informatics J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
44Brucek Khailany, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens, Brian Towles Exploring the VLSI Scalability of Stream Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
44Pradip K. Jha, Nikil D. Dutt High-level library mapping for arithmetic components. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Seok Young Kim, Chang Hyun Kim, Won Joon Lee, Il Park 0001, Seon Wook Kim Low-overhead inverted LUT design for bounded DNN activation functions on floating-point vector ALUs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Omer Subasi, Chun-Kai Chang, Mattan Erez, Sriram Krishnamoorthy Characterizing the Impact of Soft Errors Affecting Floating-point ALUs using RTL-Ievel Fault Injection. Search on Bibsonomy ICPP The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
31Alen Bardizbanyan, Per Larsson-Edefors Exploring early and late ALUs for single-issue in-order pipelines. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
31Takahisa Wada, Shunichi Ishiwata, Katsuyuki Kimura, Keiri Nakanishi, Masato Sumiyoshi, Takashi Miyamori, Masaki Nakagawa A VLIW Vector Media Coprocessor With Cascaded SIMD ALUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Makoto Ozone, Tatsuo Hiramatsu, Katsunori Hirase, Kazuhisa Iizuka Reconfigurable Processor LSI Based on ALU Array with Limitations of Connections of ALUs for Software Radio. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ALU array, software define radio, data flow graph, reconfigurable processor
31Jaume Abella 0001, Xavier Vera, Osman S. Unsal, Oguz Ergin, Antonio González 0001 Fuse: A Technique to Anticipate Failures due to Degradation in ALUs. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31J. J. Rodriguez-Navarro Comments on "Carry checking/parity prediction adders and ALUs". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Bhaskar Chatterjee, Manoj Sachdev, Ali Keshavarzi A DFT Technique for Delay Fault Testability and Diagnostics in 32-Bit High Performance CMOS ALUs. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Michael Nicolaidis Carry checking/parity prediction adders and ALUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Stevan Jay Anastasoff The presence of old Alus in GC-rich regions of the human genome - a genetic algorithm perspective. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Sanu K. Mathew, Ram K. Krishnamurthy, Mark A. Anders 0001, Rafael Rios, Kaizad R. Mistry, Krishnamurthy Soumyanath Sub-500-ps 64-b ALUs in 0.18-μm SOI/bulk CMOS: design and scaling trends. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Mihalis Psarakis, Nektarios Kranitis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Deterministic Built-In Self -Test for Shifters, Adders and ALUs in Datapaths. Search on Bibsonomy LATW The full citation details ... 2000 DBLP  BibTeX  RDF
31Chua-Chin Wang, Sheng-Hua Chen, Shen-Fu Hsiao, Chuan-Lin Wu Design and performance verification of ALUs for 64-bit 8-issue superscaler microprocessors using 0.25 um CMOS technology. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31M. Shahkarami, Graham A. Jullien, William C. Miller Designing FIR filters with enhanced Fermat ALUs. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31James E. Phillips, Stamatis Vassiliadis Proof of correctness of high-performance 3-1 interlock collapsing ALUs. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Michael Nicolaidis Efficient Implementations of Self-Checking Adders and ALUs. Search on Bibsonomy FTCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Martin S. Schmokler Design of Large ALUs Using Multiple PLA Macros. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
29Juan Fernando Eusse Giraldo, Michael Hübner 0001, Ricardo Pezzuol Jacobi BRICK: a multi-context expression grained reconfigurable architecture. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF validation, reconfigurable computing, MIMO, SystemC, co-simulation, coarse grain
29Gayatri Mehta, Colin J. Ihrig, Alex K. Jones Reducing energy by exploring heterogeneity in a coarse-grain fabric. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Michael D. Powell, Ethan Schuchman, T. N. Vijaykumar Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Angshuman Parashar, Sudhanva Gurumurthi, Anand Sivasubramaniam A Complexity-Effective Approach to ALU Bandwidth Enhancement for Instruction-Level Temporal Redundancy. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Complexity-effective design, Temporal Redundancy, Instruction Reuse
29Narasimhan Ramasubramanian, Ram Subramanian, Santosh Pande Automatic Compilation of Loops to Exploit Operator Parallelism on Configurable Arithmetic Logic Units. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF operator parallelism, FPGAs, parallel computing, Compilers, loop transformation, reconfigurable systems
29Ujval J. Kapasi, William J. Dally, Scott Rixner, John D. Owens, Brucek Khailany The Imagine Stream Processor. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Ramadass Nagarajan, Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler A design space evaluation of grid processor architectures. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15George L. Yuan, Ali Bakhoda, Tor M. Aamodt Complexity effective memory access scheduling for many-core accelerator architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF graphics processors, on-chip interconnection networks, memory controller
15Toshinori Sato, Shingo Watanabe Uncriticality-directed scheduling for tackling variation and power challenges. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Byunghyun Jang, Synho Do, Homer H. Pien, David R. Kaeli Architecture-aware optimization targeting multithreaded stream computing. Search on Bibsonomy GPGPU The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Brook+, optimization, GPGPU
15Sandro Bartolini, Irina Branovic, Roberto Giorgi, Enrico Martinelli Effects of Instruction-Set Extensions on an Embedded Processor: A Case Study on Elliptic Curve Cryptography over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor/microcomputer applications, Performance Evaluation, Cryptography, Elliptic curves, Public key cryptosystems, Processor Architectures, Pipeline processors, Portable devices, Hardware/software interfaces, Instruction set design
15Shang Ma, Jianhao Hu, Lin Zhang, Xiang Ling 0002 An efficient RNS parity checker for moduli set {2 n - 1, 2 n + 1, 22 n + 1} and its applications. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF number comparison, sign determination, overflow detection, VLSI, RNS, parity check
15Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, placement and routing, coarse-grained, reconfigurable arrays
15Swaroop Ghosh, Kaushik Roy 0001 Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Behnam Robatmili, Katherine E. Coons, Doug Burger, Kathryn S. McKinley Register Bank Assignment for Spatially Partitioned Processors. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yu Zhou, Hui Guo Application Specific Low Power ALU Design. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Deepa Kannan, Aviral Shrivastava, Vipin Mohan, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Temperature and Process Variations Aware Power Gating of Functional Units. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Power Reduction of Functional Units Considering Temperature and Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Oscal T.-C. Chen, Li-Hsun Chen, Nai-Wei Lin, Chih-Chang Chen Application-Specific Data Path for Highly Efficient Computation of Multistandard Video Codecs. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Anita Lungu, Daniel J. Sorin Verification-Aware Microprocessor Design. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jayanth Gummaraju, Mattan Erez, Joel Coburn, Mendel Rosenblum, William J. Dally Architectural Support for the Stream Execution Model on General-Purpose Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Awni Itradat, M. Omair Ahmad, Ali M. Shatnawi Architectural Synthesis of DSP Applications with Dynamically Reconfigurable Functional Units. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Swapnil Bahl A Sharable Built-in Self-Repair for Semiconductor Memories with 2-D Redundancy Schema. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Elias Mizan, Tileli Amimeur, Margarida F. Jacome Self-Imposed Temporal Redundancy: An Efficient Technique to Enhance the Reliability of Pipelined Functional Units. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jing Du 0002, Xuejun Yang, Guibin Wang, Tao Tang 0001, Kun Zeng Architecture-Based Optimization for Mapping Scientific Applications to Imagine. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF kernel partition, stream forming, scientific application, Imagine
15Kunhyuk Kang, Keejong Kim, Ahmad E. Islam, Muhammad Ashraful Alam, Kaushik Roy 0001 Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Mladen Berekovic, Tim Niggemeier A Scalable, Multi-thread, Multi-issue Array Processor Architecture for DSP Applications Based on Extended Tomasulo Scheme. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jelena Trajkovic, Mehrdad Reshadi, Bita Gorjiara, Daniel Gajski A Graph Based Algorithm for Data Path Optimization in Custom Processors. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Shiliang Hu, Ilhyun Kim, Mikko H. Lipasti, James E. Smith 0001 An approach for implementing efficient superscalar CISC processors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Lih Wen Koh, Oliver Diessel Functional Unit Chaining: A Runtime Adaptive Architecture for Reducing Bypass Delays. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Nan Wu 0003, Mei Wen, Ju Ren 0002, Yi He 0008, Chunyuan Zhang Register Allocation on Stream Processor with Local Register File. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF local register file, spilling, register allocation, VLIW, stream processor
15Palanichamy Manikandan, B. D. Liu, L. Y. Chiou, G. Sundar, Chittaranjan R. Mandal Asynchronous Design Methodology for an Efficient Implementation of Low power ALU. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jordi Cortadella, Michael Kishinevsky, Bill Grundmann Synthesis of synchronous elastic architectures. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF protocols, synthesis, latency-tolerance, latency-insensitive design
15Michael B. Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF microprocessors, distributed architectures, Interconnection architectures
15George Almási 0001, Gábor Dózsa, C. Christopher Erway, Burkhard D. Steinmacher-Burow Efficient Implementation of Allreduce on BlueGene/L Collective Network. Search on Bibsonomy PVM/MPI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Oliver Bringmann 0001, Wolfgang Rosenstiel, Axel Siebenborn Conflict analysis in multiprocess synthesis for optimized system integration. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, systems-on-chip, system level design, concurrent systems, binding, behavioral synthesis
15Hong Yue, Ming-che Lai, Kui Dai, Zhiying Wang 0003 Design of a Configurable Embedded Processor Architecture for DSP Functions. Search on Bibsonomy ICPADS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Hongkyu Kim, D. Scott Wills, Linda M. Wills Reducing Operand Communication Overhead using Instruction Clustering for Multimedia Application. Search on Bibsonomy ISM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ioannis Voyiatzis, Dimitris Gizopoulos, Antonis M. Paschalis Accumulator-Based Weighted Pattern Generation. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Hamed Fatemi, Henk Corporaal, Twan Basten, Richard P. Kleihorst, Pieter P. Jonker Designing Area and Performance Constrained SIMD/VLIW Image Processing Architectures. Search on Bibsonomy ACIVS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Mladen Berekovic, Sören Moch, Peter Pirsch A scalable, clustered SMT processor for digital signal processing. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Design Space Exploration for Real-Time Embedded Stream Processors. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Paul Willmann, Michael Brogioli, Vijay S. Pai Spinach: a liberty-based simulator for programmable network interface architectures. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF programmable network interfaces, simulation, embedded systems
15Marc Epalza, Paolo Ienne, Daniel Mlynek Adding Limited Reconfigurability to Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15W. W. S. Chu, Robert G. Dimond, S. Perrott, S. P. Seng, Wayne Luk Customisable EPIC Processor: Architecture and Tools. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Vinod Viswanath Multi-log Processor - Towards Scalable Event-Driven Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Peter G. Sassone, D. Scott Wills Dynamic Strands: Collapsing Speculative Dependence Chains for Reducing Pipeline Communication. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Mei Wen, Chunyuan Zhang, Nan Wu 0003, Haiyan Li, Li Li 0005 A Parallel Reed-Solomon Decoder on the Imagine Stream Processor. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Ujval J. Kapasi, Scott Rixner, William J. Dally, Brucek Khailany, Jung Ho Ahn, Peter R. Mattson, John D. Owens Programmable Stream Processors. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Vasily G. Moshnyaga Reducing Switching Activity of Subtraction via Variable Truncation of the Most-Significant Bits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bit-truncation, low-power design, video processing, switching activity
15Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Adapting instruction level parallelism for optimizing leakage in VLIW architectures. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power supply gating, instruction level parallelism, instruction scheduling, VLIW architecture, leakage energy, functional units
15Mark G. Arnold A VLIW Architecture for Logarithmic Arithmetic. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Very Long Instruction Word, sum of products, pipeline, Logarithmic Number System
15Michael Bedford Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kay-Chuan Benny Tan, Tughrul Arslan Shift-accumulator ALU centric JPEG2000 5/3 lifting based discrete wavelet transform architecture. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kwong-Sak Leung, Kin-Hong Lee, Sin Man Cheang Parallel Programs Are More Evolvable than Sequential Programs. Search on Bibsonomy EuroGP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh A Comparison of Asymptotically Scalable Superscalar Processors. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Ryan Kastner, Adam Kaplan, Seda Ogrenci Memik, Elaheh Bozorgzadeh Instruction generation for hybrid reconfigurable systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, high-level synthesis, reconfigurable computing
15Sumit Ghosh P2EDAS: Asynchronous, Distributed Event Driven Simulation Algorithm with Inconsistent Event Preemption for Accurate Execution of VHDL Descriptions on Parallel Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF simulation of hardware descriptions, inertial delays, descheduling, anticipatory scheduling, preemption of inconsistent events, parallel processing, VLSI, distributed algorithms, discrete event simulation, VHDL, Digital simulation, logic simulation, event driven simulation, timing semantics
15Tsukasa Yamauchi, Shogo Nakaya, Takeshi Inuo, Nobuki Kajihara Arithmetic Operation Oriented Reconfigurable Chip: RHW. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Thomas L. Sterling An Introduction to the Gilgamesh PIM Architecture. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Ronald D. Blanton, John P. Hayes On the design of fast, easily testable ALU's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Nektarios Kranitis, Dimitris Gizopoulos, Antonis M. Paschalis, Mihalis Psarakis, Yervant Zorian Power-/Energy Efficient BIST Schemes for Processor Data Paths. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Panagiotis Manolios Correctness of Pipelined Machines. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Issam Alzaher-Noufal, Michael Nicolaidis A CAD Framework for Generating Self-Checking 1 Multipliers Based on Residue Codes. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault Secure Circuits, Residue Arithmetic Codes, Multipliers, Self-Checking Circuits
15Dana S. Henry, Bradley C. Kuszmaul, Vinod Viswanath The Ultrascalar Processor-An Asymptotically Scalable Superscalar Microarchitecture. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Tonia Morris, Erica Fletcher, Cyrus Afghahi, Sami Issa, Kevin Connolly, Jean-Charles Korta A Column-based Processing Array for High-speed Digital Image Processing. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jörg Henkel A Methodology for Minimizing Power Dissipation of Embedded Systems through Hardware/Software Partitioning. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jian Shen, Jacob A. Abraham Synthesis of Native Mode Self-Test Programs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF native mode self-test, test synthesis, functional test generation
15David J. Kolson, Alexandru Nicolau, Nikil D. Dutt Copy Elimination for Parallelizing Compilers. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Walter Lee, Rajeev Barua, Matthew I. Frank, Devabhaktuni Srikrishna, Jonathan Babb, Vivek Sarkar, Saman P. Amarasinghe Space-Time Scheduling of Instruction-Level Parallelism on a Raw Machine. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Albrecht P. Stroele Arithmetic Pattern Generators for Built-In Self-Test. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Arithmetic functions, built-in self-test, design for testability, pattern generator
15Reiner W. Hartenstein, Jürgen Becker 0001, Rainer Kress 0002, Helmut Reinig, Karin Schmidt A Parallelizing Compilation Method for the Map-oriented Machine. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Debashis Bhattacharya, John P. Hayes Designing for high-level test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15J. L. Linn, C. D. Ardoin All example of using pseudofields to eliminate version shuffling in horizontal code compaction. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Scott Davidson 0001 High level design automation tools (session overview). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
15Henry Fuchs, Jack Goldfeather, Jeff P. Hultquist, Susan Spach, John D. Austin, Frederick P. Brooks Jr., John G. Eyles, John Poulton Fast spheres, shadows, textures, transparencies, and imgage enhancements in pixel-planes. Search on Bibsonomy SIGGRAPH The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #99 of 99 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license