The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASICs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1990 (27) 1991-1993 (18) 1994-1995 (26) 1996 (19) 1997 (18) 1998 (21) 1999 (23) 2000 (31) 2001 (25) 2002 (40) 2003 (43) 2004 (51) 2005 (36) 2006 (45) 2007 (41) 2008 (47) 2009 (20) 2010-2011 (21) 2012-2013 (17) 2014-2015 (21) 2016-2018 (26) 2019-2020 (22) 2021-2022 (16) 2023-2024 (11)
Publication types (Num. hits)
article(136) book(1) incollection(1) inproceedings(513) phdthesis(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 618 occurrences of 392 keywords

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
90Vikram Iyengar, Mark Johnson, Theo Anemikos, Bob Bassett, Mike Degregorio, Rudy Farmer, Gary Grise, Phil Stevens, Mark Taylor 0001, Frank Woytowich Performance verification of high-performance ASICs using at-speed structural test. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ASICs, structural test, performance verification, at-speed
60Holger Blume, Hans-Martin Blüthgen, Christiane Henning, Patrick Osterloh, Tobias G. Noll Embedding of Dedicated High-Performance ASICs into Reconfigurable Systems Providing Additional Multimedia Functionality. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF heterogeneous reconfigurable systems, dedicated ASICs, coprocessor board, CardBus, multimedia applications, text search
59Yuejian Wu, Paul N. MacDonald Testing ASICs with multiple identical cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Usman Ahmed, Guy G. Lemieux, Steven J. E. Wilton The impact of interconnect architecture on via-programmed structured ASICs (VPSAs). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured asics, via programmable fabric
50Herman Schmit, Amit Gupta, Radu Ciobanu Placement challenges for structured ASICs. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field programmable gate arrays, placement, structured ASICs
50Alex Orailoglu Microarchitectural synthesis of gracefully degradable, dynamically reconfigurable ASICs. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF microarchitectural synthesis, dynamically reconfigurable ASICs, fault-tolerance scheme, band reconfiguration, multiple permanent faults, associated high-level synthesis procedure, hardware rebinding, high-level synthesis, application specific integrated circuits, graceful degradation
50Eric Chesters Role of the verification team throughout the ASIC development life cycle. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF silicon validation, verification
50Deepak D. Sherlekar Design considerations for regular fabrics. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF structured ASIC, regular fabric
49Ashutosh Chakraborty, Anurag Kumar 0002, David Z. Pan RegPlace: a high quality open-source placement framework for structured ASICs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF global placement, regular ASIC, FPGA, placement, legalization, structured ASIC
49Behrooz Zahiri Structured ASICs: Opportunities and Challenges. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Yoshihiro Konno, Kazushi Nakamura, Tatsushige Bitoh, Koji Saga, Seiken Yano A Consistent Scan Design System for Large-Scale ASICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
41Ashutosh Chakraborty, David Z. Pan PASAP: power aware structured ASIC placement. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured ASICS, low power, placement, regular fabrics
41Vikram Iyengar, Gary Grise, Mark Taylor 0001 A flexible and scalable methodology for GHz-speed structural test. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF asynchronous clock domains, deskewer, test waveform generator, ASICs, structural test, at-speed
41Jean-Luis Dufour Safety computations in integrated circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF safety computations, software-based railway control systems, MATRA TRANSPORT, signature checking, coded processor, reliability, fault tolerant computing, logic testing, redundancy, integrated circuit testing, error correction codes, automatic testing, application specific integrated circuits, ASICs, integrated circuits, coprocessors, arithmetic coding, integrated circuit reliability
40Alex Orailoglu On-Line Fault Resilience Through Gracefully Degradable ASICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fault tolerant ICs, reconfigurable ASICs, high level synthesis, on-line test, graceful degradation
40André DeHon, Brad L. Hutchings, Daryl Rudusky, James Hwang, Nikhil, Salil Raje, Adrian Stoica What is the right model for programming and using modern FPGAs? Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Tiago Muller Gil Cardoso, Leomar S. da Rosa Jr., Felipe de Souza Marques, Renato P. Ribas, André Inácio Reis Speed-Up of ASICs Derived from FPGAs by Transistor Network Synthesis Including Reordering. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Transistor networks, logic synthesis, BDDs, Logical effort
39Ian Kuon, Jonathan Rose Measuring the Gap Between FPGAs and ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Ian Kuon, Jonathan Rose Measuring the gap between FPGAs and ASICs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF area comparison, delay comparison, power comparison, FPGA, ASIC
39Minoru Watanabe, Fuminori Kobayashi Optically Reconfigurable Gate Arrays vs. ASICs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Stephan Held, Bernhard Korte, Jens Maßberg, Matthias Ringe, Jens Vygen Clock Scheduling and Clocktree Construction for High Performance ASICS. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ASIC
39Nikolaus Kerö, Thilo Sauter Efficient Analysis of Mixed-Signal ASICs for Smart Sensors. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39David A. Sunderland, Gary L. Duncan, Brad J. Rasmussen, Harry E. Nichols, Daniel T. Kain, Lawrence C. Lee 0002, Brian A. Clebowicz, Richard W. Hollis IV, Larry Wissel, Tad Wilder Megagate ASICs for the Thuraya Satellite Digital Signal Processor (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF radiation tolerance, CCGA, reliability, DSP, ASIC, satellite communications, qualification
39Daniela De Venuto, Michael J. Ohletz On-Chip Test for Mixed-Signal ASICs using Two-Mode Comparators with Bias-Programmable Reference Voltages. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mixed-signal ASIC, hardware conversion, GO/NOGO test, bias programming, DfT, power consumption, overhead
39Holger Blume, Hans-Martin Blüthgen, Christiane Henning, Patrick Osterloh Integration of High-Performance ASICs into Reconfigurable Systems Providing Additional Multimedia Functionality. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable coprocessor board, multimedia, VLSI, DSP, digital signal processing, ASIC, CMOS
38Gilles Bosco Synthèse et décomposition technologique sur réseaux programmables et ASICs. (Synthesis and mapping on programmables devices and ASICs). Search on Bibsonomy 1996   RDF
31Scott Davidson 0001 How to make your own processor architecture. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-on-chip computing, FPGAs, ASICs, processor architecture, processor design
31Jürgen Teich, Tobias Blickle, Lothar Thiele An evolutionary approach to system-level synthesis. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF architecture selection, cost constraints, graph-based mapping model, heterogeneous hardware/software architecture, optimal mapping, performance constraints, task-level specification mapping, video-codec implementations, genetic algorithms, scheduling, memories, ASICs, design space exploration, allocation, optimization problem, binding, buses, system-level synthesis, evolutionary approach, algorithm mapping, general-purpose processors, dedicated processors
31Adel Baganne, Jean Luc Philippe, Eric Martin 0001 Hardware interface design for real time embedded systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF real time digital signal processing, hardware interface design, codesign approach, storage components, hardware-software components, I/O data modeling style, hardware I/O transfer sequences, high level synthesis tool, GAUT, I/O transfer order, cosynthesis tool, real-time systems, ASICs, timing constraints, generic model, data communication, real time embedded systems, formal technique, interface specification, FFT algorithms, allocation problem
31Sheng-Yih Guan, Avi Bleiweiss, Richard Lipes Parallel implementation of volume rendering on Denali graphics systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer graphic equipment, computer peripheral equipment, Denali graphics systems, 3D graphics systems, texture mapping capability, standard graphics pipeline, pipelined parallel architecture, Kubota Graphics Corporation, maximum intensity projection, iso-surface rendering, partitioning data allocation scheme, texture memory requirements, transformation and rasterization modules, frame buffer modules, parallel node, general purpose RISC processor, object parallelism, hardware ASICs, pixel memory, pixel parallelism, resource allocation, parallel architectures, volume rendering, pipeline processing, dynamic load balancing, image texture, parallel implementation, rendering (computer graphics), reduced instruction set computing, static load balancing
31Jay K. Adams, Donald E. Thomas Multiple-process behavioral synthesis for mixed hardware-software systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated iterative improvement technique, concurrency optimization, concurrency tradeoffs, cost/performance ratio, hardware-software tradeoffs, mixed hardware-software systems, multiple-process behavioral synthesis, software engineering, resource allocation, concurrency control, controllers, optimisation, high level synthesis, logic design, multiprocessing systems, microprocessors, ASICs, application specific integrated circuits, ASIC, microprocessor chips, cost-benefit analysis
31Ti-Yen Yen, Wayne H. Wolf Sensitivity-driven co-synthesis of distributed embedded systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF application software architecture, communicating periodic processes, gradient-search algorithm, local sensitivity, priority prediction method, process allocation, real-time distributed embedded systems, sensitivity-driven co-synthesis, software engineering, real-time systems, distributed processing, logic design, ASICs, ASIC, processor scheduling, performance estimates, process scheduling, arbitrary topology, communication links, CPU time, heterogeneous distributed systems
31Ti-Yen Yen, Wayne H. Wolf Performance estimation for real-time distributed embedded systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time distributed embedded systems, efficient analysis algorithm, application task, cosynthesis algorithms, bounding algorithms, performance evaluation, real-time systems, distributed processing, ASICs, data dependencies, execution time, performance estimation, CPUs, tight bounds, communication links
31Raminder Singh Bajwa, Robert Michael Owens, Mary Jane Irwin The MGAP's programming environment and the *C++ language. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MGAP's programming environment, *C++ language, workstation co-processor board, fine grain processors, custom ASICs, class concept, parallel data-types like bit, parallel processing, compiler, programming environments, application specific integrated circuits, high-level language, data-types, C language
31Chao-Lieh Chen, Yau-Hwang Kuo Fuzzy Hardware Synthesis with Generic LR Fuzzy Cells. Search on Bibsonomy ANNES The full citation details ... 1995 DBLP  DOI  BibTeX  RDF generic LR fuzzy cell, parallel inference, fuzzy ASICs, general-purposed fuzzy processors, current mode analog technology, fuzzy reasoning
30Joachim Pistorius, Mike Hutton, Jay Schleicher, Mihail Iotov, Enoch Julias, Kumara Tharmalingam Equivalence Verification of FPGA and Structured ASIC Implementations. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Vikram Iyengar, Jinjun Xiong, Subbayyan Venkatesan, Vladimir Zolotov, David E. Lackey, Peter A. Habitz, Chandu Visweswariah Variation-aware performance verification using at-speed structural test and statistical timing. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Ron Wilson, Joe Gianelli, Chris Hamlin, Ken McElvain, Steve Leibson, Ivo Bolson, Rich Tobias, Raul Camposano Structured/platform ASIC apprentices: which platform will survive your board room? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable ASIC platforms, digital design
30Ludovico de Souza, Philip J. Ryan, Jason Crawford, Kevin Wong, Gregory B. Zyner, Tom McDermott Prototyping for the Concurrent Development. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30J. Bryan Lewis, Ivo Bolsens, Rudy Lauwereins, Chris Wheddon, Bhusan Gupta, Yankin Tanurhan Reconfigurable SoC - What Will it Look Like? Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29J. P. Grossman, Cliff Young, Joseph A. Bank, Kenneth M. Mackenzie, Doug Ierardi, John K. Salmon, Ron O. Dror, David E. Shaw Simulation and embedded software development for Anton, a parallel machine with heterogeneous multicore ASICs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Anton, simulation, embedded software, special-purpose hardware
29Andrew C. Ling, Deshanand P. Singh, Stephen Dean Brown Incremental placement for structured ASICs using the transportation problem. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Anatoly O. Melnyk, Andriy Salo Automatic generation of ASICs. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Vikram Iyengar, Kenneth Pichamuthu, Andrew Ferko, Frank Woytowich, David E. Lackey, Gary Grise, Mark Taylor 0001, Mike Degregorio, Steven F. Oakland An Integrated Framework for At-Speed and ATE-Driven Delay Test of Contract-Manufactured ASICs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Nima Honarmand, Ali Shahabi, Hasan Sohofi, Maghsoud Abbaspour, Zainalabedin Navabi High Level Synthesis of Degradable ASICs Using Virtual Binding. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Régis Roubadia, Sami Ajram, Guy Cathébras Low Power and Low Jitter Wideband Clock Synthesizers in CMOS ASICs. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Norbert Pramstaller, Stefan Mangard, Sandra Dominikus, Johannes Wolkerstorfer Efficient AES Implementations on ASICs and FPGAs. Search on Bibsonomy AES Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Advanced Encryption Standard (AES), ASIC
29Brady Benware Achieving Sub 100 DPPM Defect Levels on VDSM and Nanometer ASICs. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Pamela S. Gillis, Francis Woytowich, Andrew Ferko, Kevin McCauley Low Overhead Delay Testing of ASICS. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ruchir Puri, David S. Kung 0001, Anthony D. Drumm Fast and accurate wire delay estimation for physical synthesis of large ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement driven synthesis, wire delay, estimation, integrated circuit design
29Gulsun Yasar, Charles Chiu, Robert A. Proctor, James P. Libous I/O Cell Placement and Electrical Checking Methodology for ASICs with Peripheral I/Os. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Mitrajit Chatterjee, Savita Banerjee, Dhiraj K. Pradhan Buffer Assignment Algorithms on Data Driven ASICs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF throughput, Application specific integrated circuits, buffers, data flow graph, wave-pipelining, data driven architecture
29Thomas Meincke, Ahmed Hemani, Shashi Kumar, Peeter Ellervee, Johnny Öberg, Thomas Olsson 0001, Peter Nilsson 0001, Dan Lindqvist, Hannu Tenhunen Globally asynchronous locally synchronous architecture for large high-performance ASICs. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Josef Schmid, Joachim Knäblein Advanced Synchronous Scan Test Methodology for Multi Clock Domain ASICs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Patrick Schaumont, Serge Vernalde, Luc Rijnders, Marc Engels, Ivo Bolsens A Programming Environment for the Design of Complex High Speed ASICs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF C++, congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
29Adrian Evans, Allan Silburt, Gary Vrckovnik, Thane Brown, Mario Dufresne, Geoffrey Hall, Tung Ho, Ying Liu Functional Verification of Large ASICs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ASIC verification, simulation, emulation
29Harry Hollander, Bradley S. Carlson, Toby D. Bennett Synthesis of SEU-tolerant ASICs using concurrent error correction. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF radiation hardening (electronics), SEU-tolerant ASIC synthesis, single error correction/double error detection Hamming code, delay overhead, memory element set partitioning, error correction codes, sequential circuits, sequential circuit, application specific integrated circuits, logic CAD, circuit layout CAD, single event upsets, logic partitioning, Hamming codes, fault tolerant design, area overhead, memory elements, design experiments, concurrent error correction
29Marley M. B. R. Vellasco, Philip C. Treleaven The Generic Neuron Architectural Framework for the Automatic Generation of ASICs. Search on Bibsonomy IWANN The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
29Roger Perry IDDQ testing in CMOS digital ASICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF early life failures, I DDQ current, stuck-at fault, bridging fault, Automatic test program generation
22John C. Lach, Vinu Vijay Kumar Application-Specific Product Generics. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nonrecurring engineering costs, FPGAs, High-level synthesis, ASICs
22Abdelhafid Bouhraoua, Muhammad E. S. Elrabaa Addressing Heterogeneous Bandwidth Requirements in Modified Fat-Tree Networks-on-Chips. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Routing, Interconnection Networks, Systems-on-Chip, Networks-On-Chip, ASICs, Fat Tree
22Richard H. Stern West Coast Federal Appeals Court Upholds Chip Protection Act Violation Finding. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF antitrust violation, Semiconductor Chip Protection Act, chip piracy, monopoly, Altera, Clear Logic, reverse engineering, ASICs, law, bitstream
22Michele Borgatti, Lorenzo Cali, Guido De Sandre, Benoit Forêt, David Iezzi, Francesco Lertora, Gilberto Muzzi, Marco Pasotti, Marco Poles, Pier Luigi Rolandi A reconfigurable signal processing IC with embedded FPGA and multi-port flash memory. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate arrays (FPGAs), application-specific integrated circuits (ASICs), reconfigurable architectures, integrated circuit design, multimedia computing, digital signal processors
22Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adjoint sensitivity, optimization, placement, ASICs, decoupling capacitor, power grid noise
22Ioannis Andreadis, Ioannis Karafyllidis, Panagiotis Tzionas, Adonios Thanailakis, Philippos Tsalides A new hardware module for automated visual inspection based on a cellular automaton architecture. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF detection of uncoated areas, cellular automata, ASICs, Visual inspection
20Jason Helge Anderson, Chirag Ravishankar FPGA power reduction by guarded evaluation. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, logic synthesis, technology mapping
20Husain Parvez, Zied Marrakchi, Habib Mehrez Heterogeneous-ASIF: an application specific inflexible FPGA using heterogeneous logic blocks (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asif, fpga, architecture, application specific, cad
20Kevin Fan, Manjunath Kudlur, Ganesh S. Dasika, Scott A. Mahlke Bridging the computation gap between programmable processors and hardwired accelerators. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20William J. Dally, James D. Balfour, David Black-Schaffer, James Chen, R. Curtis Harting, Vishal Parikh, JongSoo Park, David Sheffield Efficient Embedded Computing. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Michael T. Frederick, Arun K. Somani Beyond the arithmetic constraint: depth-optimal mapping of logic chains in LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF carry chain, depth optimal mapping, logic chain
20Kevin Fan, Hyunchul Park 0001, Manjunath Kudlur, Scott A. Mahlke Modulo scheduling for highly customized datapaths to increase hardware reusability. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF programmable asic, modulo scheduling, loop accelerator
20Mythri Alle, Keshavan Varadarajan, Ramesh C. Ramesh, Joseph Nimmy, Alexander Fell, Adarsha Rao, S. K. Nandy 0001, Ranjani Narayan Synthesis of application accelerators on Runtime Reconfigurable Hardware. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Philip Heng Wai Leong Recent Trends in FPGA Architectures and Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, applications
20Manuel Saldaña, Lesley Shannon, Jia Shuo Yue, Sikang Bian, John Craig, Paul Chow Routability of Network Topologies in FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Shiv Balakrishnan, Chris Eddington Efficient DSP algorithm development for FPGA and ASIC technologies. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie 0001, Narayanan Vijaykrishnan FPGA routing architecture analysis under variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Yuan Lin 0002, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Alastair Reid 0001, Krisztián Flautner Design and Implementation of Turbo Decoders for Software Defined Radio. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jae-Jin Lee, Gi-Yong Song Super Semi-systolic Array-Based Application-Specific PLD Architecture. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Manoj Ampalam, Montek Singh Counterflow pipelining: architectural support for preemption in asynchronous systems using anti-tokens. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, multiprocessor, network-on-chip, topology, interconnect
20Arran Derbyshire, Tobias Becker, Wayne Luk Incremental elaboration for run-time reconfigurable hardware designs. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF incremental elaboration, run-time reconfiguration, hardware compilation
20Byeong Kil Lee, Lizy Kurian John Implications of Executing Compression and Encryption Applications on General Purpose Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Media compression, memory behavior, MediaZip benchmark, overhead memory bandwidth, encryption, encoding, decoding, workload characterization, decryption
20Tianpei Zhang, Sachin S. Sapatnekar Buffering global interconnects in structured ASIC design. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Jens Franke, Thorsten Kleinjung, Christof Paar, Jan Pelzl, Christine Priplata, Colin Stahlke SHARK: A Realizable Special Hardware Sieving Device for Factoring 1024-Bit Integers. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF GNFS, lattice sieving, RSA 1024 bit, Integer factorization, special hardware
20David G. Chinnery, Kurt Keutzer Closing the power gap between ASIC and custom: an ASIC perspective. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power, energy, custom, ASIC, comparison, standard cell
20George Lawton Will Network Processor Units Live Up to Their Promise? Search on Bibsonomy Computer The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Raul Camposano Will the ASIC survive? Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20David Wentzlaff, Anant Agarwal A Quantitative Comparison of Reconfigurable, Tiled, and Conventional Architectures on Bit-Level Computation. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Binu K. Mathew, Al Davis, Michael A. Parker A low power architecture for embedded perception. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer vision, embedded systems, speech recognition, perception, low power design, VLIW, stream processor
20R. Reed Taylor, Herman Schmit Enabling energy efficiency in via-patterned gate array devices. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VPGA, optimization, low-power, power, voltage scaling, structured ASIC
20Timothy Sherwood, George Varghese, Brad Calder A Pipelined Memory Architecture for High Throughput Network Processors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Ruchir Puri, Leon Stok, John M. Cohn, David S. Kung 0001, David Z. Pan, Dennis Sylvester, Ashish Srivastava, Sarvesh H. Kulkarni Pushing ASIC performance in a power envelope. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, ASIC, high-performance, design optimization
20Byeong Kil Lee, Lizy Kurian John Implications of Programmable General Purpose Processors for Compression/Encryption Applications. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Russell Tessier, Wayne P. Burleson Reconfigurable Computing for Digital Signal Processing: A Survey. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, survey, reconfigurable computing, signal processing
20Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Simon Leung, Adam Postula, Ahmed Hemani Development of Programmable Architecture for Base-Band Processing. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Ho-Sik Seok, Kwang-Ju Lee, Byoung-Tak Zhang, Dong-Wook Lee, Kwee-Bo Sim Genetic Programming of Process Decomposition Strategies for Evolvable Hardware. Search on Bibsonomy Evolvable Hardware The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A Framework for Testability Analysis and Optimizationb of RTL Circuits for BIST. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Al Bailey, Tim Lada, Jim Preston Collateral ASIC Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 665 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license