The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase C-testable (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1975 (15) 1976-1981 (24) 1982-1984 (17) 1985-1986 (26) 1987-1988 (31) 1989 (23) 1990 (39) 1991 (27) 1992 (30) 1993 (38) 1994 (29) 1995 (55) 1996 (47) 1997 (42) 1998 (50) 1999 (44) 2000 (56) 2001 (34) 2002 (54) 2003 (49) 2004 (47) 2005 (61) 2006 (44) 2007 (65) 2008 (67) 2009 (46) 2010 (35) 2011 (33) 2012 (27) 2013 (33) 2014 (26) 2015 (23) 2016 (25) 2017 (23) 2018 (18) 2019 (22) 2020-2021 (25) 2022 (23) 2023 (16) 2024 (3)
Publication types (Num. hits)
article(560) book(2) incollection(5) inproceedings(816) phdthesis(9)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1319 occurrences of 656 keywords

Results
Found 1392 publication records. Showing 1392 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
82A. N. Trahtman Piecewise and Local Threshold Testability of DFA. Search on Bibsonomy FCT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF locally threshold testable, piecewise testable, locally testable, syntactic semigroup, algorithm, automaton, transition graph
82Roy S. Freedman Testability of Software Components. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF domain testability, domain-testable program, input-output inconsistencies, small test sets, test outputs, domain-testable specification, nondomain-testable specification, formal specification, controllability, software components, program testing, observability, program specifications
75Hosahalli R. Srinivas, Bapiraju Vinnakota, Keshab K. Parhi A C-testable carry-free divider. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
75Niraj K. Jha, Abha Ahuja Easily testable nonrestoring and restoring gate-level cellular array dividers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
73R. D. (Shawn) Blanton, John P. Hayes Design of a fast, easily testable ALU. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ALU, adder design, L-testable design, level-testable, carry-lookahead addition, fault diagnosis, logic testing, integrated circuit testing, automatic testing, digital arithmetic, integrated circuit design, adders, logic arrays, test patterns, area overhead, functional faults, carry logic, arithmetic-logic unit, 8 bit
73Ting-Yu Kuo, Chun-Yeh Liu, Kewal K. Saluja An optimized testable architecture for finite state machines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimized testable architecture, FSM synthesis, testable machine, transfer sequences, synthesis benchmark circuits, logic testing, finite state machines, finite state machines, sequential circuits, logic CAD, sequences, circuit optimisation, distinguishing sequences, synchronizing sequence
69Eldar Fischer Testing graphs for colorable properties. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
68Avraham Trakhtman Reducing the Time Complexity of Testing for Local Threshold Testability. Search on Bibsonomy CIAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF threshold locally testable, algorithm, graph, Automaton
68A. N. Trahtman An Algorithm to Verify Local Threshold Testability of Deterministic Finite Automata. Search on Bibsonomy WIA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF locally threshold testable, semigroup AMS subject classification 68Q25, 68Q68, 20M07, algorithm, deterministic finite automaton, 68Q45
67Jonathan S. Ostroff, Faraz Ahmadi Torshizi Testable Requirements and Specifications. Search on Bibsonomy TAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Oded Goldreich 0001, Madhu Sudan 0001 Locally testable codes and PCPs of almost-linear length. Search on Bibsonomy J. ACM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF error-correcting codes, derandomization, probabilistically checkable proofs, Proof verification
67Irith Pomeranz Reducing test-data volume using P-testable scan chains in circuits with multiple scan chains. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
67Oded Goldreich 0001, Madhu Sudan 0001 Locally Testable Codes and PCPs of Almost-Linear Length. Search on Bibsonomy FOCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
67Oliver Matz On Piecewise Testable, Starfree, and Recognizable Picture Languages. Search on Bibsonomy FoSSaCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
67Andres R. Takach, Niraj K. Jha Easily testable gate-level and DCVS multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
66S. Crepaux-Motte, Mireille Jacomino, Rene David An algebraic method for delay fault testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF input values, output function, robustly testable fault, nonrobustly testable fault, weakly verifiable, fault diagnosis, logic testing, delays, timing, Markov processes, random testing, delay fault testing, state transition, input vectors, algebraic method
58Artur Czumaj, Christian Sohler On testable properties in bounded degree graphs. Search on Bibsonomy SODA The full citation details ... 2007 DBLP  BibTeX  RDF
58László Babai, Amir Shpilka, Daniel Stefankovic Locally testable cyclic codes. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Noga Alon, Asaf Shapira A Characterization of the (natural) Graph Properties Testable with One-Sided Error. Search on Bibsonomy FOCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Ronald D. Blanton, John P. Hayes On the design of fast, easily testable ALU's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
58Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis, Constantin Halatsis C-Testable modified-Booth multipliers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Booth multipliers, design for testability, C-testability, iterative logic arrays, carry lookahead adders, cell fault model
58Sam M. Kim, Robert McNaughton, Robert McCloskey An Upper Bound on the Order of Locally Testable Deterministic Finite Automata. Search on Bibsonomy Optimal Algorithms The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
57Yun Shao 0002, Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara On Selecting Testable Paths in Scan Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF testable path, delay testing, delay fault, path delay fault, path selection
57Debesh K. Das, Bhargab B. Bhattacharya Testable design of non-scan sequential circuits using extra logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, sequentially redundant faults, multiple stuck-at-fault model, augmented logic, performance evaluation, logic testing, redundancy, test generation, design for testability, logic design, sequential circuits, logic synthesis, synchronous sequential circuits, benchmark circuits, testable design
57S. M. Aziz A C-testable modified Booth's array multiplier. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C-testable multiplier, modified Booth algorithm, gate-level design, parallel processing, logic testing, integrated circuit testing, digital arithmetic, stuck-at faults, CMOS logic circuits, multiplying circuits, logic arrays, array multiplier, parallel multiplier
50Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Microprocessor self-testing, Path delay fault classification, Functionally testable paths, Functional tests, Delay fault testing
49Himanshu Thapliyal, A. Prasad Vinod 0001 Designing Efficient Online Testable Reversible Adders With New Reversible Gate. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Noga Alon, Eldar Fischer, Ilan Newman, Asaf Shapira A combinatorial characterization of the testable graph properties: it's all about regularity. Search on Bibsonomy STOC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF characterization, property testing, regularity lemma
49Tali Kaufman, Simon Litsyn Almost Orthogonal Linear Codes are Locally Testable. Search on Bibsonomy FOCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49László Babai, Amir Shpilka, Daniel Stefankovic Locally Testable Cyclic Codes. Search on Bibsonomy FOCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Pan Zhongliang Fault Detection for Testable Realizations of Multiple-Valued Logic Functions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Jerry Z. Gao 0002, Kamal Gupta, Shalini Gupta, Simon S. Y. Shim On Building Testable Software Components. Search on Bibsonomy ICCBSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
49Meghanad D. Wagh, Chien-In Henry Chen High-level design synthesis with redundancy removal for high speed testable adders. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
49Sen-Pin Lin, Charles Njinda, Melvin A. Breuer Generating a family of testable designs using the BILBO methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF BILBO design system, built-in self-test, test scheduling, synthesis for testability
49Srinivas Devadas, Kurt Keutzer Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
48Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir C-testable bit parallel multipliers over GF(2m). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, cryptography, built-in self-test, digital signal processing, polynomials, VLSI design, stuck-at fault, TPG, fault, multiplier, Galois field, error control code, C-testable
48Or Meir Combinatorial construction of locally testable codes. Search on Bibsonomy STOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pcps of proximity, probabilistically checkable proofs, locally testable codes
48Eliane Martins, Cristina Maria Toyota, Rosileny Lie Yanagawa Constructing Self-Testable Software Components. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF component testability, self-testable component, OO testing, design for testability
48Masayuki Tsukisaka, Takashi Nanya A testable design for asynchronous fine-grain pipeline circuits. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous fine-grain pipeline circuits, dynamic gates, high-performance datapath design, pipeline latches, scan latch libraries, logic testing, logic CAD, SPICE, CMOS technology, scan path, SPICE simulation, testable design
48Ugur Kalay, Marek A. Perkowski, Douglas V. Hall Highly Testable Boolean Ring Logic Circuits. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Boolean Ring Circuits, Easily Testable Multiple-Valued Logic Circuits, Binary Implementation of MVL Circuits
48Kwame Osei Boateng, Hiroshi Takahashi, Yuzo Takamatsu Design of C-Testable Multipliers Based on the Modified Booth Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF modified Booth Algorithm, c-testable design, design for testability, multiplier, exhaustive testing, cell fault model
48Gosta Pada Biswas, Idranil Sen Gupta Generalized modular design of testable m-out-of-n code checker. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable m-out-of-n code checker, combinational logic port, combinational logic cells, unidirectional faults, complementary outputs, VLSI, fault diagnosis, logic testing, cellular automata, combinational circuits, fault location, stuck-at faults, logic arrays, cellular automaton, modular design, iterative array, initial state
48Dong Sam Ha, Sudhakar M. Reddy On the design of random pattern testable PLA based on weighted random pattern testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF built-in self-test, PLA, testable design, random pattern testability
47Irith Pomeranz Reducing Test Data Volume Using Random-Testable and Periodic-Testable Scan Chains in Circuits with Multiple Scan Chains. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Mohamed Soufi, Yvon Savaria, Bozena Kaminska On the design of at-speed testable VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique
43Oded Lachish, Ilan Newman, Asaf Shapira Space Complexity Vs. Query Complexity. Search on Bibsonomy Comput. Complex. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Subject classification. 68Q15, 68Q10
43Oded Lachish, Ilan Newman, Asaf Shapira Space Complexity vs. Query Complexity. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Noga Alon, Asaf Shapira Linear equations, arithmetic progressions and hypergraph property testing. Search on Bibsonomy SODA The full citation details ... 2005 DBLP  BibTeX  RDF
43Eldar Fischer, Ilan Newman Testing versus estimation of graph properties. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF property testing, regularity lemma, graph properties, distance approximation
43A. N. Trahtman A Package TESTAS for Checking Some Kinds of Testability. Search on Bibsonomy CIAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli Irredundant sequential machines via optimal logic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
42A. N. Trahtman A Polynomial Time Algorithm for Left [Right] Local Testability. Search on Bibsonomy CIAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF locally testable, algorithm, graph, language, semigroup, deterministic finite automaton
41Swastik Kopparty, Shubhangi Saraf Tolerant Linearity Testing and Locally Testable Codes. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Itai Benjamini, Oded Schramm, Asaf Shapira Every minor-closed property of sparse graphs is testable. Search on Bibsonomy STOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF minor closed properties, graph algorithms, property testing
41Soheila Bashardoust-Tajali, Jean-Pierre Corriveau On Extracting Tests from a Testable Model in the Context of Domain Engineering. Search on Bibsonomy ICECCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Tali Kaufman, Madhu Sudan 0001 Sparse Random Linear Codes are Locally Decodable and Testable. Search on Bibsonomy FOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Saravanan Padmanaban, Spyros Tragoudas Efficient identification of (critical) testable path delay faults using decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Jose L. Verdú-Mas, Rafael C. Carrasco, Jorge Calera-Rubio Parsing with Probabilistic Strictly Locally Testable Tree Languages. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Noga Alon, Asaf Shapira Every monotone graph property is testable. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF monotone properties, property testing, regularity lemma
41Venkatesan Guruswami, Atri Rudra Tolerant Locally Testable Codes. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Paul Valiant The Tensor Product of Two Codes Is Not Necessarily Robustly Testable. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Eli Ben-Sasson, Madhu Sudan 0001 Robust Locally Testable Codes and Products of Codes. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Tomokazu Yoneda, Hideo Fujiwara Design for Consecutive Testability of System-on-a-Chip with Built-In Self Testable Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF consecutive transparency, built-in self test, design for testability, system-on-a-chip, test access mechanism, consecutive testability
41Shyue-Kung Lu, Chien-Hung Yeh Easily Testable and Fault-Tolerant Design of FFT Butterfly Networks. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Satoshi Ohtake, Michiko Inoue, Hideo Fujiwara A Method of Test Generation for Weakly Testable Data Paths Using Test Knowledge Extracted from RTL Description. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41José Ruiz 0001, Salvador España Boquera, Pedro García 0001 Locally Threshold Testable Languages in Strict Sense: Application to the Inference Problem. Search on Bibsonomy ICGI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Dariusz Bojanowicz How Faults can be Simulated in Self-Testable VLSI Digital Circuits. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Shyue-Kung Lu, Jen-Chuan Wang, Cheng-Wen Wu C-testable design techniques for iterative logic arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
41Chen-Huan Chiang, Sandeep K. Gupta 0001 Random pattern testable logic synthesis. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Gwo-Haur Hwang, Wen-Zen Shen Restructuring and logic minimization for testable PLA. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
41Bernhard Eschermann, Hans-Joachim Wunderlich Optimized synthesis techniques for testable sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
41Sam M. Kim, Robert McNaughton Computing the Order of a Locally Testable Automaton. Search on Bibsonomy FSTTCS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
41Che W. Chiou, Ted C. Yang Fully testable PLA design with minimal extra input. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
40Ugur Kalay, Douglas V. Hall, Marek A. Perkowski A Minimal Universal Test Set for Self-Test of EXOR-Sum-of-Products Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF AND-EXOR realizations, Reed-Muller expressions, single stuck-at fault model, easily testable combinational networks, self-testable circuits, Built-in Self-Test (BIST), test pattern generation, Design for Testing (DFT), Universal test set
40Syed Mahfuzul Aziz, C. N. Basheer, Joarder Kamruzzaman A Synthesisable VHDL Model for an Easily Testable Generalised Multiplier. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modified Booth, Generic, Synthesis, VHDL, Multiplier, C-Testable
40Daniel Deveaux, Patrice Frison, Jean-Marc Jézéquel Increase Software Trustability with Self-Testable Classes in Java. Search on Bibsonomy Australian Software Engineering Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF self-testable class, java, XML, software engineering, Software component, software development process, design by contract, testing tools
40Elena Dubrova, Jon C. Muzio Easily Testable Multiple-Valued Logic Circuits Derived from Reed-Muller Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Reed-Muller circuit, easily testable circuit, stuck-at fault, Multiple-valued function
40Th. Haniotakis, Dimitris Nikolos, Y. Tsiatouhas C-Testable One-Dimensional ILAs with Respect to Path Delay Faults: Theory and Applications. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF robustly delay fault testable circuits, path delay faults, C-testability, Iterative-logic-arrays
40Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
40Valery A. Vardanian On completely robust path delay fault testable realization of logic functions. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF robust path delay fault testable realization, two-level completely RPDFT realization, RPDFT-extension, input variables, VLSI, VLSI, fault diagnosis, logic testing, delays, Boolean functions, Boolean functions, integrated circuit testing, combinational circuits, combinational circuits, multivalued logic circuits, symmetric functions
40Sukumar Nandi, Parimal Pal Chaudhuri Theory and applications of cellular automata for synthesis of easily testable combinational logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable combinational logic, combinational logic blocks, test machine, data path synthesis phase, autonomous mode, aliasing error probability, associated lines, test application overheads, test parallelism, simultaneous testing, multiple combinational modules, graph theory, fault diagnosis, logic testing, high level synthesis, test generation, cellular automata, cellular automata, design for testability, combinational circuits, logic CAD, stuck-at faults, shift registers, cost effectiveness, registers, test vectors, test responses, state transition graph
40P. Jayalakshmi, S. Vidya, S. Krishnakumar, K. Ravisankar, P. Kumar A highly testable ASIC for telephone signaling. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF telephone equipment, telecommunication signalling, highly testable ASIC, telephone signaling, online system diagnostic functions, integrated circuit testing, design for testability, fault simulation, application specific integrated circuits, integrated circuit design, functional simulation, digital integrated circuits, telephony
40Pedro García 0001, Enrique Vidal 0001 Inference of k-Testable Languages in the Strict Sense and Application to Syntactic Pattern Recognition. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF k-testable languages, deterministic finite-state automation, pattern recognition, computational complexity, inference mechanisms, formal languages, finite automata, grammars, grammars, strings, inductive inference, syntactic pattern recognition, inference algorithm
34Kaoru Onodera New Morphic Characterizations of Languages in Chomsky Hierarchy Using Insertion and Locality. Search on Bibsonomy LATA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Eli Ben-Sasson, Michael Viderman Composition of Semi-LTCs by Two-Wise Tensor Products. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Hideo Fujiwara, Hiroyuki Iwata, Tomokazu Yoneda, Chia Yee Ooi A Nonscan Design-for-Testability Method for Register-Transfer-Level Circuits to Guarantee Linear-Depth Time Expansion Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Rajsekhar Adapa, Edward Flanigan, Spyros Tragoudas, Michael Laisne, Hailong Cui, Tsvetomir Petrov Function-Based Test Generation for (Non-Robust) Path Delay Faults Using the Launch-off-Capture Scan Architecture. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Shirley Halevy, Oded Lachish, Ilan Newman, Dekel Tsur Testing Properties of Constraint-Graphs. Search on Bibsonomy CCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Noga Alon, Eldar Fischer, Michael Krivelevich, Mario Szegedy Efficient Testing of Large Graphs. Search on Bibsonomy Comb. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF AMS Subject Classification (1991) Classes: 68R10, 05C35, 05C85
34Subhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey Fault Escapes in Duplex Systems. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Duplex systems, Common-Mode Failures (CMFs), Test points, User-programmable logic, Data Integrity, Availability, Diversity
34R. D. (Shawn) Blanton IDDQ-Testability of Tree Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Marwan A. Gharaybeh, Michael L. Bushnell, Vishwani D. Agrawal Classification and Test Generation for Path-Delay Faults Using Single Struck-at Fault Tests. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF digital circuit testing, test generation, fault models, delay test, path delay faults
33Tali Kaufman, Madhu Sudan 0001 Algebraic property testing: the role of invariance. Search on Bibsonomy STOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF error-correcting codes, locally testable codes, sublinear time algorithms
33Hafizur Rahaman 0001, Dipak Kumar Kole, Debesh Kumar Das, Bhargab B. Bhattacharya On the Detection of Missing-Gate Faults in Reversible Circuits by a Universal Test Set. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Missing-gate faults, quantum computing, reversible logic, testable design, universal test set
33Hafizur Rahaman 0001, Jimson Mathew, Biplab K. Sikdar, Dhiraj K. Pradhan Transition Fault Testability in Bit Parallel Multipliers over GF(2^{m}). Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cryptography, polynomials, Multipliers, Galois field, error control code, Transition fault, C-testable
33Shyue-Kung Lu, Cheng-Wen Wu, Ruei-Zong Hwang Cell delay fault testing for iterative logic arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cell delay fault, path delay fault, C-testable, iterative logic array, pseudoexhaustive testing
32Thomas Place, Luc Segoufin A Decidable Characterization of Locally Testable Tree Languages. Search on Bibsonomy ICALP (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Philippe Golle, Richard Chow, Jessica Staddon Testable commitments. Search on Bibsonomy StorageSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF litigation, responsive content, privacy, discovery, commitment scheme
32Joaquin Gonzalez-Rodriguez, P. Rose, Daniel Ramos 0001, Doroteo T. Toledano, Javier Ortega-Garcia Emulating DNA: Rigorous Quantification of Evidential Weight in Transparent and Testable Forensic Speaker Recognition. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Görschwin Fey, Anna Bernasconi 0001, Valentina Ciriani, Rolf Drechsler On the Construction of Small Fully Testable Circuits with Low Depth. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1392 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license