The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for HSPICE with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-1996 (15) 1997-1999 (32) 2000 (16) 2001 (22) 2002 (50) 2003 (41) 2004 (35) 2005 (61) 2006 (57) 2007 (25) 2008 (35) 2009 (15) 2010-2020 (12)
Publication types (Num. hits)
article(56) inproceedings(360)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 249 occurrences of 195 keywords

Results
Found 416 publication records. Showing 416 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Fengming Zhang, Rui Tang, Yong-Bin Kim SET-based nano-circuit simulation and design method using HSPICE. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SET circuit design, SET modeling, SET simulation with HSPICE
45Jie Deng, Albert Lin, Gordon C. Wan, H.-S. Philip Wong Carbon nanotube transistor compact model for circuit design and performance optimization. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VerilogA, carbon nanotube FET, compact model, CNT, HSPICE
41Asim Salim, Tajinder Manku, Arokia Nathan Modeling of magnetic field sensitivity of bipolar magnetotransistors using HSPICE. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
40Yarallah Koolivand, Omid Shoaei, Ali Fotowat-Ahmady, Ali Zahabi, Parviz Jabedar Maralani Nonlinearity Analysis in ISD CMOS LNA's Using Volterra Series. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS LNA, cascode, inductively source degenerated (ISD), intermodulation (IM), second order interception point (IIP2), third order interception point (IIP3), volterra kernels, volterra series, linearity, distortion
35Hideaki Kimura 0002, Norihito Iyenaga A Unified Technique for PCB/MCM Design by Combining Electromagnetic Field Analysis with Circuit Simulator. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF small signal operation, large signaloperation, Simulator, MCM, FDTD, PCB, HSPICE
35Charles E. Molnar, Ian W. Jones, William S. Coates, Jon K. Lexau A FIFO Ring Performance Experiment. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FIFO ring performance experiment, high-speed FIFO circuit, asynchronous FIFO, clocked shift register, pulse-like protocol, two-phase clocked design, MOSIS, internal FIFO stages, 3.3 V, 1.67 to 4.8 V, 0.6 micron, pipeline, SPICE, data path, hSpice, circuit delays
35Haluk Konuk, F. Joel Ferguson An unexpected factor in testing for CMOS opens: the die surface. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF surface phenomena, electric charge, CMOS opens, die surface, RC interconnect, HSPICE simulations, trapped charge, floating gates, VLSI, integrated circuit testing, CMOS integrated circuits, integrated circuit modelling, circuit model
35Mohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska Design and performance of CMOS TSPC cells for high speed pseudo random testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS TSPC cells, high speed pseudo random testing, built-in self-test scheme, HSpice simulations, functionally equivalent logic block, true single phase clocking, logic testing, built-in self test, integrated circuit testing, logic CAD, layout, circuit analysis computing, clocks, circuit layout CAD, CMOS logic circuits, SPICE, cellular arrays, integrated circuit layout, test methodology, untestable faults, netlists
35Chung-Len Lee, Horng Nan Chern, Min Shung Liao, Hui Min Wang On Designing of 4-Valued Memory with Double-Gate TFT. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thin film transistors, 4-valued memory, double-gate TFT, 4 valued memory cell, double gate thin film transistor, double gate TFT, HSPICE simulation, resistor load, CMOS load basic block circuit, memory cell circuits, SRAM cell circuit, memory architecture, integrated circuit design, multivalued logic, SPICE, circuit design, multivalued logic circuits, SRAM chips, CMOS memory circuits, equivalent circuits, equivalent circuit
35H. Dhanesha, K. Falakshahi, Mark Horowitz Array-of-arrays architecture for parallel floating point multiplication. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF array-of-arrays architecture, parallel floating point multiplication, mantissa path, IEEE standard 754, dual-rail domino, HSpice simulation, capacitive load model, 53 bit, 10 ns, 4.3 V, 120 C, parallel architectures, trees, latency, floating point arithmetic, multiplying circuits, CMOS technology, Verilog, synergy, 1 micron
35Samy Makar, Edward J. McCluskey Checking experiments to test latches. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF exhaustive functional tests, 2-state latches, minimum-length checking, D-latch, HSpice implementation, transmission gate latch, detectable shorted interconnects, open interconnects, short-to-power faults, short-to-ground faults, pin fault test set, multiplexer-based test set, sequential elements, 2-state state machines, simulation, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, CMOS, circuit analysis computing, CMOS logic circuits, SPICE, stuck open faults, checking experiments, stuck-on faults
31Ahmad Slo, Sukanya Bhowmik, Kurt Rothermel hSPICE: State-Aware Event Shedding in Complex Event Processing. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
31Ahmad Slo, Sukanya Bhowmik, Kurt Rothermel hSPICE: state-aware event shedding in complex event processing. Search on Bibsonomy DEBS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
31Kento Suzuki, Nobukazu Takai, Masato Kato, Hiroaki Seki, Yoshiki Sugawara, Haruo Kobayashi 0001 Comparator circuits automation by combination of distributed genetic algorithm and HSPICE optimization. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
31Wei Wei 0034, Jie Han 0001, Fabrizio Lombardi Robust HSPICE modeling of a single electron turnstile. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Linbin Chen, Fabrizio Lombardi, Jie Han 0001 An enhanced HSPICE macromodel of a PCM cell with threshold switching and recovery behavior. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Pilin Junsangsri, Fabrizio Lombardi, Jie Han 0001 HSPICE macromodel of a Programmable Metallization Cell (PMC) and its application to memory design. Search on Bibsonomy NANOARCH The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Farshad Merrikh-Bayat, Nafiseh Mirebrahimi, Farhad Bayat Circuit proposition for copying the value of a resistor into a memristive device supported by HSPICE simulation Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
31Subrata Biswas, Kazi Muhammad Jameel, Rahmanul Haque, Md. Abul Hayat A Novel Design and Simulation of a Compact and Ultra Fast CNTFET Multi-valued Inverter Using HSPICE. Search on Bibsonomy UKSim The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
31Pilin Junsangsri, Fabrizio Lombardi, Jie Han 0001 Macromodeling a phase change memory (PCM) cell by HSPICE. Search on Bibsonomy NANOARCH The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
31Fabrizio Lombardi, Wei Wei 0034, Jie Han 0001 Modeling a single electron turnstile in HSPICE. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
31Nobuo Akou, Tetsuya Asai, Takeshi Yanagida, Tomoji Kawai, Yoshihito Amemiya A behavioral model of unipolar resistive RAMs and its application to HSPICE integration. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
31Tom J. Kazmierski, Dafeng Zhou, Bashir M. Al-Hashimi HSPICE implementation of a numerically efficient model of CNT transistor. Search on Bibsonomy FDL The full citation details ... 2009 DBLP  BibTeX  RDF
31Thomas Noulis, Stylianos Siskos, Gérard Sarrabayrouse Comparison between BSIM4.X and HSPICE flicker noise models in NMOS and PMOS transistors in all operating regions. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Jin-Gu Lee, Dae Hwan Kim, Jaegab Lee, Dong Myong Kim, Kyeong-Sik Min A compact HSPICE macromodel of resistive RAM. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Fengming Zhang, Rui Tang, Yong-Bin Kim SET-based nano-circuit simulation and design method using HSPICE. Search on Bibsonomy Microelectron. J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Aravind R. Valkodai, Tajinder Manku Modeling and designing silicon thin-film inductors and transformers using HSPICE for RFIC applications. Search on Bibsonomy Integr. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Junlin Zhou, Mengzhang Cheng, Leonard Forbes SPICE models for flicker noise in p-MOSFETs in the saturationregion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Dingming Xie, Leonard Forbes Phase noise on a 2-GHz CMOS LC oscillator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Shiyou Zhao, Kaushik Roy 0001 Estimation of Switching Noise on Power Supply Lines in Deep Sub-micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF switching noise, Ldi/dt noise, maximum switching current, IR voltage drop
25Lei Wang 0003, Lei Chen 0010, Zhiping Wen 0001, Huabo Sun, Shuo Wang A Novel High-Density Single-Event Upset Hardened Configurable SRAM Applied to FPGA. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Heavy ion, CSRAM, Medici, FPGA, HSPICE
25Richard Trihy Addressing library creation challenges from recent Liberty extensions. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Liberty, Liberty NCX, composite current source (CCS) models, nonlinear delay model (NLDM), nonlinear power model (NLPM), HSPICE
20Karthikeyan Lingasubramanian, Sanjukta Bhanja An Error Model to Study the Behavior of Transient Errors in Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Hao Xu 0010, Wen-Ben Jone, Ranga Vemuri Accurate energy breakeven time estimation for run-time power gating. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Rajesh Garg, Peng Li 0001, Sunil P. Khatri Modeling dynamic stability of SRAMS in the presence of single event upsets (SEUs). Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Tatsuya Koyagi, Masahiro Fukui, Resve A. Saleh Delay macromodeling and estimation for RTL. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Paulo F. Butzen, Leomar S. da Rosa Jr., Erasmo J. D. Chiappetta Filho, Dionatan S. Moura, André Inácio Reis, Renato P. Ribas Simple and accurate method for fast static currentestimation in cmos complex gates with interaction ofleakage mechanisms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF leakage estimation, logic design, cmos gates
20Wanping Zhang, Ling Zhang, Rui Shi 0003, He Peng, Zhi Zhu, Lew Chua-Eoan, Rajeev Murgai, Toshiyuki Shibuya, Noriyuki Ito, Chung-Kuan Cheng Fast power network analysis with multiple clock domains. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen Analytical model for crosstalk and intersymbol interference in point-to-point buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Daisuke Atuti, Takashi Morie, Kazuyuki Aihara A Current-Sampling-Mode Arbitrary Chaos Generator Circuit Using Pulse Modulation Approach Driven by Quantized Nonlinear Waveforms. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20H. Kondou, Sumio Fukai, Yohei Ishikawa Multiple-valued SRAM with FG-MOSFETs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Suvodeep Gupta, Srinivas Katkoori Intrabus crosstalk estimation using word-level statistics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Ahmad Yazdi, Payam Heydari The Design and Analysis of Non-Uniform Down-Sized Differential Distributed Amplifiers. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Makram M. Mansour, Mohammad M. Mansour, Amit Mehrotra Modified Sakurai-Newton Current Model and its Applications to CMOS Digital Circuit Design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Martin Omaña 0001, Giacinto Papasso, Daniele Rossi 0001, Cecilia Metra A Model for Transient Fault Propagation in Combinatorial Logic. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Keerthi Heragu, Manish Sharma, Rahul Kundu, Ronald D. Blanton Test vector generation for charge sharing failures in dynamic logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Li Ding 0002, Pinaki Mazumder Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Ashok K. Murugavel, N. Ranganathan A Real Delay Switching Activity Simulator Based on Petri Net Modeling. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Chien-Cheng Yu, Weiping Wang, Bin-Da Liu A new level converter for low-power applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Suhwan Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Design, Verification, and Test of a True Single-Phase 8-bit Adiabatic Multiplier. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Keerthi Heragu, Manish Sharma, Rahul Kundu, R. D. (Shawn) Blanton Testing of Dynamic Logic Circuits Based on Charge Sharing. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Dingming Xie, Mengzhang Cheng, Leonard Forbes SPICE models for flicker noise in n-MOSFETs from subthreshold tostrong inversion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Ram K. Krishnamurthy, L. Richard Carley Exploring the design space of mixed swing quadrail for low-power digital circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Sherif H. K. Embabi, R. Damodaran Delay models for CMOS, BiCMOS and BiNMOS circuits and their applications for timing simulations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Shrikanth Ganapathy, Ramon Canal, Antonio González 0001, Antonio Rubio 0001 MODEST: a model for energy estimation under spatio-temporal variability. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dsm scaling, spatio-temporal variability, cache design
10Prateek Mishra, Anish Muttreja, Niraj K. Jha Low-power FinFET circuit synthesis using multiple supply and threshold voltages. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low-power, linear programming, synthesis, TCMS
10Zhimin Chen 0002, Syed Haider, Patrick Schaumont Side-Channel Leakage in Masked Circuits Caused by Higher-Order Circuit Effects. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria An interconnect-aware delay model for dynamic voltage scaling in NM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage scaling (dvs), interconnects, delay model
10Palanichamy Manikandan, Bjørn B. Larsen, Einar J. Aas Design of novel CAM core cell structures for an efficient implementation of low power BCAM system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF binary content addressable memory (bcam), core cell, match line scheme, low power
10Soheil Ziabakhsh, Hosein Alavi-Rad, Mohammad Alavi-Rad, Mohammad Mortazavi The design of a low-power high-speed current comparator in 0.35-m CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Shan Zeng, Wenjian Yu, Wanping Zhang, Jian Wang, Xianlong Hong, Chung-Kuan Cheng Efficient power network analysis with complete inductive modeling. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Chenyue Ma, Bo Li, Lining Zhang, Jin He 0003, Xing Zhang 0002, Xinnan Lin, Mansun Chan A unified FinFET reliability model including high K gate stack dynamic threshold voltage, hot carrier injection, and negative bias temperature instability. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Amirali Shayan Arani, Xiang Hu, He Peng, Wenjian Yu, Wanping Zhang, Chung-Kuan Cheng, Mikhail Popovich, Xiaoming Chen, Lew Chua-Eoan, Xiaohua Kong Parallel flow to analyze the impact of the voltage regulator model in nanoscale power distribution network. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Qian Ying Tang, Qiang Chen, Niloy Chatterjee, Vedank Tripathi, Natarajan Nandagopalan, Sridhar Tirumala Phenomenological model for gate length bias dependent inverter delay change with emphasis on library characterization. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Bardia Bozorgzadeh, Ali Afzali-Kusha Novel MOS Decoupling Capacitor Optimization Technique for Nanotechnologies. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Ravikishore Gandikota, Li Ding 0002, Peivand Tehrani, David T. Blaauw Worst-case aggressor-victim alignment with current-source driver models. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CSM, delay noise, crosstalk
10Yan Li 0029, Vladimir Stojanovic Yield-driven iterative robust circuit optimization algorithm. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF robust circuit optimization, variability, yield, analog circuits
10Pallav Gupta, Rui Zhang, Niraj K. Jha Automatic Test Generation for Combinational Threshold Logic Networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sampo Tuuna, Li-Rong Zheng 0001, Jouni Isoaho, Hannu Tenhunen Modeling of On-Chip Bus Switching Current and Its Impact on Noise in Power Supply Grid. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Gülin Tulunay, Sina Balkir A Synthesis Tool for CMOS RF Low-Noise Amplifiers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Natasa Miskov-Zivanov, Diana Marculescu Modeling and Optimization for Soft-Error Reliability of Sequential Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Edmund Lee 0002, Guy Lemieux, Shahriar Mirabbasi Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing design, FPGA, computer-aided design, interconnect design, FPGA interconnect
10Andrea Calimera, Luca Benini, Enrico Macii Optimal MTCMOS Reactivation Under Power Supply Noise and Performance Constraints. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Morteza Saheb Zamani, Maryam Taajobian, Mehdi Saeedi An Efficient Non-Tree Clock Routing Algorithm for Reducing Delay Uncertainty. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Zhenghong Wang, Ruby B. Lee A novel cache architecture with enhanced performance and security. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jorge Oliveros, Dwight Cabrera, Elkim Roa, Wilhelmus A. M. Van Noije An improved and automated design tool for the optimization of CMOS OTAs using geometric programming. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF OTA design, analog CAD, analog circuit optimization, design methodologies, geometric programming
10Hongbo Zeng, Jun Wang, Ge Zhang 0007, Weiwu Hu An interconnect-aware power efficient cache coherence protocol for CMPs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Xiaoxiao Wang 0001, Mohammad Tehranipoor, Ramyanshu Datta Path-RO: a novel on-chip critical path delay measurement under process variations. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tasuku Nagai, Naoya Onizawa, Takahiro Hanyu High-Speed Timing Verification Scheme Using Delay Tables for a Large-Scaled Multiple-Valued Current-Mode Circuit. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Verilog-AMS, Static timing analysis, Look-up table
10Akihiro Hirosaki, Masatomo Miura, Atsushi Matsumoto, Takahiro Hanyu Vth-Variation Compensation of Multiple-Valued Current-Mode Circuit Using TMR Devices. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF differential-pair circuit, radix-2 signed-digit adder, reliability
10Angan Das, Ranga Vemuri ATLAS: An adaptively formed hierarchical cell library based analog synthesis framework. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sedigheh Hashemi, Omid Shoaei A 0.9V 10-bit 100 MS/s switched-RC pipelined ADC without using a front-end S/H in 90nm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tong Ge, Joseph Sylvester Chang, Wei Shu PSRR of bridge-tied load PWM Class D Amps. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Abinash Roy, Masud H. Chowdhury Analysis of the impacts of signal rise/fall time and skew variations in coupled-RLC interconnects. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jingye Xu, Pervez Khaled, Masud H. Chowdhury Full waveform accuracy to estimate delay in coupled digital circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Gülin Tulunay, Sina Balkir Synthesis of RF CMOS Low Noise Amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yue Chi, Zhushi Xie, Kewen Xia, Xin Liu Static Power Optimization for CMOS Combinational Circuit Based on Bacterial Colony Chemotaxis Algorithm. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Minglang Lin, Ahmet T. Erdogan, Tughrul Arslan, Adrian Stoica A novel CMOS exponential approximation circuit. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mu-Shun Matt Lee, Chin-Hsun Lin, Chien-Nan Jimmy Liu, Shih-Che Lin Quick supply current waveform estimation at gate level using existed cell library information. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF current waveform estimation, gate-level
10Kimish Patel, Wonbok Lee, Massoud Pedram In-order pulsed charge recycling in off-chip data buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data buses, power, charge recycling
10Jingye Xu, Pervez Khaled, Masud H. Chowdhury Fast bus waveform estimation at the presence of coupling noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coupling noise, global interconnect
10Ricky Yiu-kee Choi, Chi-Ying Tsui A Low Energy Two-Step Successive Approximation Algorithm for ADC Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Successive Approximation Register ADC, Low Power
10Ling Zhang, Wenjian Yu, Haikun Zhu, Wanping Zhang, Chung-Kuan Cheng Clock Skew Analysis via Vector Fitting in Frequency Domain. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF vector fitting, clock skew, frequency domain
10Krishnan Ramakrishnan, R. Rajaraman, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin, Kenan Unlu Hierarchical Soft Error Estimation Tool (HSEET). Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reliability, Soft Errors, Flip-Flop, Combinational Logic
10Dong-Shong Liang, Kwang-Jow Gan New D-Type Flip-Flop Design Using Negative Differential Resistance Circuits. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF negative differential resistance(NDR), monostable-bistable transition logic elements(MOBILE)
10Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen Analysis of Delay Variation in Encoded On-Chip Bus Signaling under Process Variation. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Wei Pei, Wen-Ben Jone, Yiming Hu Fault Modeling and Detection for Drowsy SRAM Caches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Hao-Chiao Hong A Fully-Settled Linear Behavior Plus Noise Model for Evaluating the Digital Stimuli of the Design-for-Digital-Testability Sigma-Delta Modulators. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Design-for-digital-testability, Stimulus evaluation, ?-? modulator, Behavioral model
10Kyung Ki Kim, Yong-Bin Kim, Minsu Choi, Nohpill Park Leakage Minimization Technique for Nanoscale CMOS VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanometer CMOS, cell characterization, gate-tunneling current, input pattern generation, leakage power, subthreshold leakage current
10Shuming Chen, Xiangyuan Liu A Low-Latency and Low-Power Hybrid Insertion Methodology for Global Interconnects in VDSM Designs. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF differential-signaling, insertion methodology, on-chip interconnects, low-swing
Displaying result #1 - #100 of 416 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license