The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for PBTI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2007-2012 (22) 2013-2016 (17) 2017-2021 (15) 2022-2023 (4)
Publication types (Num. hits)
article(21) inproceedings(37)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11 occurrences of 9 keywords

Results
Found 58 publication records. Showing 58 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
117Zhenyu Qi, Jiajing Wang, Adam C. Cabe, Stuart N. Wooters, Travis N. Blalock, Benton H. Calhoun, Mircea R. Stan SRAM-based NBTI/PBTI sensor system design. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PBTI, sensor system design, sensor, redundancy, process variation, aging, yield, SRAM, NBTI
81Anuj Pushkarna, Hamid Mahmoodi Reliability analysis of power gated SRAM under combined effects of NBTI and PBTI in nano-scale CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, aging, SRAM, power gating
51Gyusung Park, Hanzhao Yu, Minsu Kim, Chris H. Kim An All BTI (N-PBTI, N-NBTI, P-PBTI, P-NBTI) Odometer based on a Dual Power Rail Ring Oscillator Array. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Adaptive techniques for overcoming performance degradation due to aging in digital circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Davide Favero, A. Cavaliere, Carlo De Santi, Matteo Borga, W. Gonçalez Filho, Karen Geens, Benoit Bakeroot, Stefaan Decoutere, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini High- Temperature PBTI in Trench-Gate Vertical GaN Power MOSFETs: Role of Border and Semiconductor Traps. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Ethan S. Lee, Jungwoo Joh, Dong-Seup Lee, Jesús A. del Alamo Impact of Gate Offset on PBTI of p-GaN Gate HEMTs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Nirmaan Shanker, Li-Chen Wang, Suraj S. Cheema, Wenshen Li, Nilotpal Choudhury, Chenming Hu, Souvik Mahapatra, Sayeef S. Salahuddin On the PBTI Reliability of Low EOT Negative Capacitance 1.8 nm HfO2-ZrO2 Superlattice Gate Stack on Lg=90 nm nFETs. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Lulu Chou, Xiao Yu, Huan Liu, Yan Liu, Genquan Han, Yue Hao Systematic Study on Positive Bias Temperature Instability(PBTI) of ZrO2-based Ge nMOSFETs with Interlayer Passivations. Search on Bibsonomy ICICDT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Aby-Gaël Viey, William Vandendaele, Marie-Anne Jaud, Jean Coignus, Jacques Cluzel, Alexis Krakovinsky, Simon Martin 0006, Jérome Biscarrat, Romain Gwoziecki, Veronique Sousa, Fred Gaillard, Roberto Modica, Ferdinando Iucolano, Matteo Meneghini, Gaudenzio Meneghesso, Gérard Ghibaudo Study on the difference between ID(VG) and C(VG) pBTI shifts in GaN-on-Si E-mode MOSc-HEMT. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Dimple Kochar, Tarun Samadder, Subhadeep Mukhopadhyay 0003, Souvik Mahapatra Modeling of HKMG Stack Process Impact on Gate Leakage, SILC and PBTI. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Ang Li, Yi Shen, Ziqian Li, Yuhao Zhu 0004, Huiqing Wen, Wen Liu 0010 Stability Analysis of Monolithic GaN MIS-HEMT Comparator with Device PBTI and Circuit Stress Tests. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Hong Yang, Luwei Qi, Yanbo Zhang, Bo Tang, Qianqian Liu, Hao Xu, Xueli Ma, Xiaolei Wang, Yongliang Li, Huaxiang Yin, Junfeng Li, Huilong Zhu, Chao Zhao, Wenwu Wang 0006, Tianchun Ye 0001 Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Aby-Gaël Viey, William Vandendaele, Marie-Anne Jaud, Romain Gwoziecki, A. Torres, Marc Plissonnier, Fred Gaillard, Gérard Ghibaudo, Roberto Modica, Ferdinando Iucolano, Matteo Meneghini, Gaudenzio Meneghesso Influence of Gate Length on pBTI in GaN-on-Si E-Mode MOSc-HEMT. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Xianqiang Liu, Xiaodi Xu, Chenjie Gu, Renyuan Gu, Weiwei Wang, Wenjun Liu, Tianli Duan Investigating the impact of the defect dynamic characteristics on the PBTI in the high-κ gate device. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26William Vandendaele, Xavier Garros, Thomas Lorin, Erwan Morvan, A. Torres, René Escoffier, Marie-Anne Jaud, Marc Plissonnier, Fred Gaillard A novel insight of pBTI degradation in GaN-on-Si E-mode MOSc-HEMT. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Eduard Cartier, Martin M. Frank, Takashi Ando, John Rozen, Vijay Narayanan PBTI in InGaAs MOS capacitors with Al2O3/HfO2/TiN gate stacks: Interface-state generation. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Tibor Grasser, Bernhard Stampfer, Michael Waltl, Gerhard Rzepa, Karl Rupp, Franz Schanovsky, Gregor Pobegen, Katja Puschkarsky, Hans Reisinger, Barry J. O'Sullivan, Ben Kaczer Characterization and physical modeling of the temporal evolution of near-interfacial states resulting from NBTI/PBTI stress in nMOS/pMOS transistors. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Yun Li, K. L. Wang, Shaoyan Di, Peng Huang 0004, Gang Du, Xiao-Yan Liu PBTI evaluation of In0.65Ga0.35As/In0.53Ga0.47As nanowire FETs with Al2O3 and LaAlO3 gate dielectrics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Maryam Karimi, Nezam Rohbani, Seyed Ghassem Miremadi A Low Area Overhead NBTI/PBTI Sensor for SRAM Memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Usman Khalid, Antonio Mastrandrea, Mauro Olivieri Effect of NBTI/PBTI Aging and Process Variations on Write Failures in MOSFET and FinFET Flip-Flops. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
26Koyo Suzuki, Katsuyoshi Miura, Koji Nakamae NBTI/PBTI tolerant arbiter PUF circuits. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Mitsuhiko Igarashi, Yoshio Takazawa, Yasumasa Tsukamoto, Kan Takeuchi, Koji Shibutani NBTI/PBTI separated BTI monitor with 4.2x sensitivity by standard cell based unbalanced ring oscillator. Search on Bibsonomy A-SSCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Wei-Ting Kary Chien, Atman Yong Zhao, Yueqin Zhu, Yongliang Song Early detection and prediction of HKMG SRAM HTOL performance by WLR PBTI tests. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Andres F. Gomez, Víctor H. Champac Critical path selection under NBTI/PBTI aging for adaptive frequency tuning. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Maoxiang Yi, Yingxian Gan, Zhengfeng Huang, Huaguo Liang Co-mitigating circuit PBTI and HCI aging considering NMOS transistor stacking effect. Search on Bibsonomy ISIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Tony Tae-Hyoung Kim, Pong-Fei Lu, Keith A. Jenkins, Chris H. Kim A Ring-Oscillator-Based Reliability Monitor for Isolated Measurement of NBTI and PBTI in High-k/Metal Gate Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Usman Khalid, Antonio Mastrandrea, Mauro Olivieri Effect of NBTI/PBTI aging and process variations on write failures in MOSFET and FinFET flip-flops. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Alex Guo, Jesús A. del Alamo Positive-bias temperature instability (PBTI) of GaN MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Peter Lagger, S. Donsa, P. Spreitzer, Gregor Pobegen, M. Reiner, H. Naharashi, J. Mohamed, H. Mosslacher, G. Prechtl, Dionyz Pogany, Clemens Ostermaier Thermal activation of PBTI-related stress and recovery processes in GaN MIS-HEMTs using on-wafer heaters. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Xiaoyu Tang, J. Lu, Rui Zhang 0024, Yi Zhao, Wangran Wu, Chang Liu, Yi Shi, Ziqian Huang, Yuechan Kong PBTI and HCI degradations of ultrathin body InGaAs-On-Insulator nMOSFETs fabricated by wafer bonding. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Vita Pi-Ho Hu, Ming-Long Fan, Pin Su, Ching-Te Chuang Impacts of NBTI and PBTI on ultra-thin-body GeOI 6T SRAM cells. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Wataru Mizubayashi, Takahiro Mori, Koichi Fukuda, Yongxun Liu, Takashi Matsukawa, Yuki Ishikawa, Kazuhiko Endo, Shin-ichi O'Uchi, Junichi Tsukada, Hiromi Yamauchi, Yukinori Morita, Shinji Migita, Hiroyuki Ota, Meishoku Masahara PBTI for N-type tunnel FinFETs. Search on Bibsonomy ICICDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Jin Hyung Choi, Jin-Woo Han, Chong-Gun Yu, Jong Tae Park 0003 Hot carrier and PBTI induced degradation in silicon nanowire gate-all-around SONOS MOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Dimitris P. Ioannou HKMG CMOS technology qualification: The PBTI reliability challenge. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Xiaofei Wang, Weichao Xu, Chris H. Kim SRAM read performance degradation under asymmetric NBTI and PBTI stress: Characterization vehicle and statistical aging data. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Keith A. Jenkins, Pong-Fei Lu On-chip circuit to monitor long-term NBTI and PBTI degradation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Zhao Chuan Lee, Kam Chew Leong, Zhi-Hui Kong, Tony Tae-Hyoung Kim NBTI/PBTI-Aware WWL Voltage Control for Half-Selected Cell Stability Improvement. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Yen-Han Lee, Ing-Chao Lin, Sheng-Wei Wang Impacts of NBTI and PBTI effects on ternary CAM. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Saman Kiamehr, Farshad Firouzi, Mehdi Baradaran Tahoori Aging-aware timing analysis considering combined effects of NBTI and PBTI. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Nivard Aymerich, Shrikanth Ganapathy, Antonio Rubio 0001, Ramon Canal, Antonio González 0001 Impact of positive bias temperature instability (PBTI) on 3T1D-DRAM cells. Search on Bibsonomy Integr. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Muhammad Faiz Bukhori, Noor Ain Kamsani, Asen Asenov, Nazrul Anuar Nayan Accurate capturing of the statistical aspect of NBTI/PBTI variability into statistical compact models. Search on Bibsonomy Microelectron. J. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Karina Rott, Hans Reisinger, Stefano Aresu, Christian Schlünder, Klaus Kölpin, Wolfgang Gustin, Tibor Grasser New insights on the PBTI phenomena in SiON pMOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Masaoud Houshmand Kaffashian, Reza Lotfi, Khalil Mafinezhad, Hamid Mahmoodi Impacts of NBTI/PBTI on performance of domino logic circuits with high-k metal-gate devices in nanoscale CMOS. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Hossein Karimiyan Alidash, Andrea Calimera, Alberto Macii, Enrico Macii, Massimo Poncino On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture. Search on Bibsonomy PATMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Tony T. Kim, Pong-Fei Lu, Chris H. Kim Design of ring oscillator structures for measuring isolated NBTI and PBTI. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Zhao Chuan Lee, Kim Ming Ho, Zhi-Hui Kong, Tony T. Kim NBTI/PBTI-aware wordline voltage control with no boosted supply for stability improvement of half-selected SRAM cells. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Ming-Chien Tsai, Yi-Wei Lin, Hao-I Yang, Ming-Hsien Tu, Wei-Chiang Shih, Nan-Chun Lien, Kuen-Di Lee, Shyh-Jye Jou, Ching-Te Chuang, Wei Hwang Embedded SRAM ring oscillator for in-situ measurement of NBTI and PBTI degradation in CMOS 6T SRAM array. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Hao-I Yang, Wei Hwang, Ching-Te Chuang Impacts of NBTI/PBTI and Contact Resistance on Power-Gated SRAM With High-kappa Metal-Gate Devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Gregor Pobegen, Thomas Aichinger, Tibor Grasser, Michael Nelhiebel Impact of gate poly doping and oxide thickness on the N- and PBTI in MOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Seung Min Lee, Dong Hun Lee, Jae Ki Lee, Jong Tae Park 0003 Concurrent PBTI and hot carrier degradation in n-channel MuGFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Hao-I Yang, Shyh-Chyi Yang, Wei Hwang, Ching-Te Chuang Impacts of NBTI/PBTI on Timing Control Circuits and Degradation Tolerant Design in Nanoscale CMOS SRAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Asen Asenov, Andrew R. Brown, Binjie Cheng Statistical aspects of NBTI/PBTI and impact on SRAM yield. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Saman Kiamehr, Abdulazim Amouri, Mehdi Baradaran Tahoori Investigation of NBTI and PBTI induced aging in different LUT implementations. Search on Bibsonomy FPT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Nivard Aymerich, Shrikanth Ganapathy, Antonio Rubio 0001, Ramon Canal, Antonio González 0001 Impact of positive bias temperature instability (PBTI) on 3T1D-DRAM cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Tony Tae-Hyoung Kim, Zhi-Hui Kong Impacts of NBTI/PBTI on SRAM VMIN and design techniques for SRAM VMIN improvement. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Aditya Bansal, Rahul M. Rao, Jae-Joon Kim, Sufi Zafar, James H. Stathis, Ching-Te Chuang Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Hao-I Yang, Ching-Te Chuang, Wei Hwang Impacts of NBTI and PBTI on Power-gated SRAM with High-k Metal-gate Devices. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Gilles Reimbold, Jérôme Mitard, Xavier Garros, Charles Leroux, Gérard Ghibaudo, François Martin Initial and PBTI-induced traps and charges in Hf-based oxides/TiN stacks. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #58 of 58 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license