The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Tester with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1964-1983 (17) 1984-1986 (23) 1987-1989 (20) 1990-1991 (24) 1992-1994 (17) 1995-1997 (30) 1998 (30) 1999 (29) 2000 (27) 2001 (31) 2002 (64) 2003 (84) 2004 (80) 2005 (62) 2006 (53) 2007 (54) 2008 (62) 2009 (39) 2010 (17) 2011 (18) 2012-2013 (33) 2014-2015 (24) 2016 (21) 2017 (15) 2018 (23) 2019 (25) 2020 (17) 2021-2022 (33) 2023 (27) 2024 (3)
Publication types (Num. hits)
article(282) book(5) incollection(7) inproceedings(702) phdthesis(6)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 654 occurrences of 435 keywords

Results
Found 1011 publication records. Showing 1002 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
93Jing-Reng Huang, Chee-Kian Ong, Kwang-Ting Cheng, Cheng-Wen Wu An FPGA-based re-configurable functional tester for memory chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF re-configurable tester, memory chips, re-configurable hardware platform, prototype tester, compiler, integrated circuit testing, reconfigurable architectures, integrated memory circuits
73Yuki Yamagata, Kenichi Ichino, Masayuki Arai, Satoshi Fukumoto, Kazuhiko Iwasaki, Masayuki Satoh, Hiroyuki Itabashi, Takashi Murai, Nobuyuki Otsuka Implementation of Memory Tester Consisting of SRAM-Based Reconfigurable Cells. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SRAM test, SRAM-based reconfigurable cell, memory tester, marching test
71Yoshiyuki Nakamura, Thomas Clouqueur, Kewal K. Saluja, Hideo Fujiwara Diagnosing At-Speed Scan BIST Circuits Using a Low Speed and Low Memory Tester. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
71Matthieu Tuna, Mounir Benabdenbi, Alain Greiner At-Speed Testing of Core-Based System-on-Chip Using an Embedded Micro-Tester. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Intaik Park, Donghwi Lee, Erik Chmelar, Edward J. McCluskey Inconsistent Fail due to Limited Tester Timing Accuracy. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inconsistent fail, tester timing accuracy, tester EPA, delay test, inconsistency
62Dana Moshkovitz, Ran Raz Sub-constant error low degree test of almost-linear size. Search on Bibsonomy STOC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF plane vs. point test, probabilistically checkable proofs, locally testable codes, low degree testing
62Alexandre Petrenko, Nina Yevtushenko 0001, Jiale Huo Testing Transition Systems with Input and Output Testers. Search on Bibsonomy TestCom The full citation details ... 2003 DBLP  DOI  BibTeX  RDF input/output transition system, test generation, fault model, conformance testing
62Joan Feigenbaum, Sampath Kannan, Martin Strauss 0001, Mahesh Viswanathan 0001 Testing and Spot-Checking of Data Streams. Search on Bibsonomy Algorithmica The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
62Abhijit Jas, Nur A. Touba Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF test data compression, system-on-chip testing, deterministic testing
58Vinay Verma, Shantanu Dutt, Vishal Suthar Efficient on-line testing of FPGAs with provable diagnosabilities. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF built-in self-tester (BISTer), roving tester (ROTE), FPGAs, functional testing, on-line testing, diagnosability
55Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu, Nobuhiro Yanagida Multiple Fault Diagnosis in Logic Circuits Using EB Tester and Multiple/Single Fault Simulators. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF single/multiple fault simulators, EB tester, fault diagnosis, combinational circuit, multiple stuck-at fault
53Paul Valiant Testing symmetric properties of distributions. Search on Bibsonomy STOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF vandermonde matrices, continuity, property testing, distribution testing, multivariate statistics
53Irit Dinur, Omer Reingold Assignment Testers: Towards a Combinatorial Proof of the PCP-Theorem. Search on Bibsonomy FOCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
53Robert W. Bassett, Barry J. Butkus, Stephen L. Dingle, Marc R. Faucher, Pamela S. Gillis, Jeannie H. Panner, John G. Petrovick, Donald L. Wheater Low-Cost Testing of High-Density Logic Components. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
53Lyl M. Ciganda, Francesco Abate, Paolo Bernardi, M. Bruno, Matteo Sonza Reorda An enhanced FPGA-based low-cost tester platform exploiting effective test data compression for SoCs. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
53David Money Harris, David Diaz TestosterICs: A Low-Cost Functional Chip Tester. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
53Juhana Helovuo, Antti Valmari Checking for CFFD-Preorder with Tester Processes. Search on Bibsonomy TACAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
53Nobuhiro Yanagida, Hiroshi Takahashi, Yuzo Takamatsu Electron Beam Tester Aided Fault Diagnosis for Logic Circuits Based on Sensitized Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
49Irith Pomeranz, Sudhakar M. Reddy On Test Compaction Objectives for Combinational and Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF combinational circuits synchronous sequential circuits test compaction tester storage schemes tester memory requirements
47Jae Wook Lee, Ji Hwan (Paul) Chun, Jacob A. Abraham A delay measurement method using a shrinking clock signal. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF edge placement accuracy, tester, measurement, delay
47Matthew L. Fichtenbaum, Gordon D. Robinson Scan test architectures for digital board testers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF tester architecture, scan, boundary scan
44Angela Krstic, Kwang-Ting (Tim) Cheng, Srimat T. Chakradhar Testing High Speed VLSI Devices Using Slower Testers. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
44Xuefa Hu, Zhen Zhao 0004, Shu Wang, Fuli Wang, Dakuo He, Shui-kang Wu Multi-stage extreme learning machine for fault diagnosis on hydraulic tube tester. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Shu Wang, Xuefa Hu, Dakuo He, Fuli Wang A Fault Diagnosis Method to Hydraulic Tube Tester Production Process. Search on Bibsonomy FSKD (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44A. M. Majid, David C. Keezer, J. V. Karia A 5 Gbps Wafer-Level Tester. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Mark Litterick, Joachim Geishauser Robust Vera Coding Techniques for Gate-Level and Tester-Compliant SoC Verification Environments. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Maurizio Gavardoni Data flow within an open architecture tester. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Kazuhiro Yamada, Yoshikazu Takahashi Vector Memory Expansion System For T33xx Logic Tester. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF T33XX LSSD vector pattern DFT
44Junichi Hirase Test Time Reduction through Minimum Execution of Tester-Hardware Setting Instructions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Vishal Suthar, Shantanu Dutt High-diagnosability online built-in self-test of FPGAs via iterative bootstrapping. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in self-tester (BISTer), roving tester (ROTE), FPGAs, functional testing, on-line testing, diagnosability
40Ravi Kumar 0001, D. Sivakumar 0001 Efficient Self-Testing/Self-Correction of Linear Recurrences. Search on Bibsonomy FOCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF efficient self-testing, efficient self-correction, self-tester design, self-corrector design, result-checkers, linear function testing, counting arguments, matrix twist, convolution identities, VLSI chip testing, randomness-efficient self-tester, rational domains, signal processing, finite fields, program testing, polynomials, reduction, functions, spectral analysis, matrix groups, linear recurrences, control engineering
40Kotaro Katsuyama, Fumiaki Sato, Tetsuo Nakakawaji, Tadanori Mizuno Strategic Testing Environment with Formal Description Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF formal environment for systematic testing, stepwise approach, test development, TENT, test sequence generation tool, APRICOT, ASN.1 pre-compiler, coder, test-debugger, test specification editor, upper tester, lower tester, simulator, design, formal specification, protocol, protocols, data structures, programming environments, formal semantics, communication systems, formal description techniques, FOREST, test execution
38Baosheng Wang, Andy Kuo, Touraj Farahmand, André Ivanov, Yong B. Cho, Sassan Tabatabaei A Realistic Timing Test Model and Its Applications in High-Speed Interconnect Devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF timing specifications testing, test environment, tester OTA and yield, high-speed interconnect testing, yield analysis
38Baosheng Wang, Yong B. Cho, Sassan Tabatabaei, André Ivanov Yield, Overall Test Environment Timing Accuracy, and Defect Level Trade-Offs for High-Speed Interconnect Device Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Timing specifications testing, Test Environment, Tester OTA and yield, High-speed interconnect testing, Yield analysis
38Hiroshi Takahashi, Nobuhiro Yanagida, Yuzo Takamatsu Enhancing multiple fault diagnosis in combinational circuits based on sensitized paths and EB testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electron beam testing, multiple fault diagnosis, sensitized paths, EB testing, TP-1, TP-2, TP-3, TP-4, electron-beam tester, internal lines, VLSI, fault diagnosis, logic testing, combinational circuits, combinational circuits, fault location, fault location, stuck-at faults, diagnostic resolution
38Hyun Sook Rhee, Jong Hwan Park, Willy Susilo, Dong Hoon Lee 0001 Improved searchable public key encryption with designated tester. Search on Bibsonomy AsiaCCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF designated tester, public key encryption with keyword search, searchable encryption
38Daniel G. Bobrow, J. Bruce Fraser A phonological rule tester. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF format-directed list processing, on-line systems, phonology, rule tester, LISP, linguistics, transformational grammar
37Kasper Johansen, Mitchell J. L. Morton, Yoann Malbéteau, Bruno Aragon, Samer Al-Mashharawi, Matteo G. Ziliani, Yoseline Angel, Gabriele Fiene, Sónia Negrão, Magdi A. A. Mousa, Mark A. Tester, Matthew F. McCabe Predicting Biomass and Yield in a Tomato Phenotyping Experiment Using UAV Imagery and Random Forest. Search on Bibsonomy Frontiers Artif. Intell. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
37Maciej Lukawski, Jefferson W. Tester, Michal C. Moore, Pawel Król, C. Lindsay Anderson Demand Response for Reducing Coincident Peak Loads in Data Centers. Search on Bibsonomy HICSS The full citation details ... 2019 DBLP  BibTeX  RDF
37Uzma Tahir, Anthony L. Hessel, Eric R. Lockwood, John T. Tester, Zhixiu Han, Daniel J. Rivera, Kaitlyn L. Covey, Thomas G. Huck, Nicole A. Rice, Kiisa C. Nishikawa Case Study: A Bio-Inspired Control Algorithm for a Robotic Foot-Ankle Prosthesis Provides Adaptive Control of Level Walking and Stair Ascent. Search on Bibsonomy Frontiers Robotics AI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
37Ben Ward, John W. Bastian, Anton van den Hengel, Daniel Pooley, Rajendra Bari, Bettina Berger, Mark A. Tester A model-based approach to recovering the structure of a plant from images. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
37Robert LeMoyne, Jeremy Petak, John T. Tester, Kiisa Nishikawa Simulation of a computational winding filament model with an exponential spring to represent titin. Search on Bibsonomy EMBC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
37Ben Ward, John W. Bastian, Anton van den Hengel, Daniel Pooley, Rajendra Bari, Bettina Berger, Mark A. Tester A Model-Based Approach to Recovering the Structure of a Plant from Images. Search on Bibsonomy ECCV Workshops (4) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
37Kristofer Tester, James W. Scrofani, Murali Tummala, David Garren, John C. McEachen A spatiotemporal clustering approach to maritime domain awareness. Search on Bibsonomy ICSPCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
37Darlene M. Tester Book Review: Online Privacy: Issues in the Digital Age. Search on Bibsonomy J. Digit. Forensics Secur. Law The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
37Kaijian Shi, David Tester Well tapping methodologies in power-gating design. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
37Keith Tester Book Review: The Ethics of Cyberspace. Search on Bibsonomy New Media Soc. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Jason Tester, B. J. Fogg, Michael Maile CommuterNews: a prototype of persuasive in-car entertainment. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Phillip King, Jason Tester The Landscape of Persuasive Technologies. Search on Bibsonomy Commun. ACM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37B. J. Fogg, Daniel Bedichevsky, Jason Tester Persuasive computing. Search on Bibsonomy ACM SIGCHI Bull. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37B. Kobrinsky, I. Tester, N. Demikova, Yu. Sedov, B. Marjanchik, L. Taperova, Yu. Glukhovskaya, M. Podolnaja A Multifunctional System of the National Genetic Register. Search on Bibsonomy MedInfo The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Eli Ben-Sasson, Michael Viderman Composition of Semi-LTCs by Two-Wise Tensor Products. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Eldar Fischer, Frédéric Magniez, Michel de Rougemont Approximate Satisfiability and Equivalence. Search on Bibsonomy LICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Mircea Popa 0001, Voicu Groza, Alina Botas Lin Bus Testing Software. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36C. V. Krishna, Nur A. Touba Hybrid BIST Using an Incrementally Guided LFSR. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Ananta K. Majhi, Guido Gronthoud, Camelia Hora, Maurice Lousberg, Pop Valer, Stefan Eichenberger Improving Diagnostic Resolution of Delay Faults using Path Delay Fault Model. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Ken Auer, Ron Jeffries, Jeff Canna, Glen B. Alleman, Lisa Crispin, Janet Gregory 0002 Are Testers eXtinct? How Can Testers Contribute to XP Teams? Search on Bibsonomy XP/Agile Universe The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Abhijit Jas, Nur A. Touba Using an Embedded Processor for Efficient Deterministic Testing of Systems-on-a-Chip. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Test Vector Compression, External Testing, Built-In Self-Test, Embedded Processor, System-on-a-Chip, Automatic Test Equipment, At-Speed Testing, Scan Chains, Deterministic Testing
36Abhijit Jas, Nur A. Touba Test vector decompression via cyclical scan chains and its application to testing core-based designs. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Wai Han Ho, Paul Andrew Watters, Dominic R. Verity Robustness of the New Owner-Tester Approach for Face Identification Experiments. Search on Bibsonomy CVPR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Jüri Vain, Kullo Raiend, Andres Kull, Juhan P. Ernits Synthesis of test purpose directed reactive planning tester for nondeterministic systems. Search on Bibsonomy ASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nondeterministic extended finite state machine, reactive planning, model-based testing, online testing
35Vinay Verma, Shantanu Dutt Roving testing using new built-in-self-tester designs for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35A. T. Sivaram, Masashi Shimanouchi, Howard Maassen, Robert Jackson Tester Architecture For The Source Synchronous Bus. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Rashid Rashidzadeh, Majid Ahmadi, William C. Miller A tester-on-chip implementation in 0.18µ CMOS utilizing a MEMS interface. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Atsushi Tagami, Teruyuki Hasegawa, Toru Hasegawa, Koji Nakao OC-48c traffic tester for generating and analyzing long-range dependence traffic. Search on Bibsonomy ISCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Achintya Halder, Abhijit Chatterjee, Pramodchandran N. Variyam, John Ridley Measuring Stray Capacitance on Tester Hardware. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Érika F. Cota, Luigi Carro, Marcelo Lubaszewski A Method to Diagnose Faults in Linear Analog Circuits using an Adaptive Tester. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Daniel P. Van der Velde, Ad J. van de Goor Designing a Memory Module Tester. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Hideto Kayashima, Hideharu Amano TCI Tester: Tester for Through Chip Interface. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
35Masayuki Sato, Hiroki Wakamatsu, Masayuki Arai, Kenichi Ichino, Kazuhiko Iwasaki, Takeshi Asakawa Tester Structure Expression Language and Its Application to the Environment for VLSI Tester Program Development. Search on Bibsonomy J. Inf. Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Bill Bottoms, Lee Song, Paul Patton, Wilhelm Radermacher A Successful DFT Tester: What Will It Look Like? Is DFT Tester a Logical Next Step in ATE Evolution? Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Koji Nakamae, Takashi Ishimura, Hiromu Fujioka EB tester fault localization algorithm for combinational circuits by utilizing fault simulation and test pattern sequence for EB tester. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Hironobu Niijima, Yasuo Tokunaga, Shouichi Koshizuka, Kazuo Yakuwa, Péter Fazekas, Mathias Sturm, Hans-Peter Feuerbaum Electron Beam Tester Integrated into a VLSI Tester. Search on Bibsonomy ITC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
35Robert L. Hickling Tester Independent Problem Representation and Tester Dependent Program Generation. Search on Bibsonomy ITC The full citation details ... 1983 DBLP  BibTeX  RDF
29Scott Davidson 0001, Nur A. Touba Guest Editors' Introduction: Progress in Test Compression. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF tester memory, don't-care bits, X values, test compression, test vectors, test data volume
29Rajesh Tiwari, Abhijeet Shrivastava, Mahit Warhadpande, Srivaths Ravi 0001, Rubin A. Parekhji A Regression Based Technique for ATE-Aware Test Data Volume Estimation of System-on-Chips. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tester, ATPG, Estimation, ATE, Test Time, Test Data Volume
29Hacène Fouchal, Eric Petitjean, Sébastien Salva Testing timed systems with timed purposes. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF timed systems testing, timed purposes, timed counterpart, timed input/output automaton, canonical tester, protocols, conformance testing, time constraints, labeled transition system, automata theory
29Zahari M. Darus, Iftekhar Ahmed 0003, Liakot Ali A test processor chip implementing multiple seed, multiple polynomial linear feedback shift register. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test processor chip, multiple polynomial linear feedback shift register, ASIC chip, scan-path testing, external IC tester, simulation, fault coverage, shift registers, pattern generator, multiple seed
29Elaine J. Weyuker More Experience with Data Flow Testing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Rapps-Weyuker data flow testing criteria, tester variability, cost assessment, data adequacy, software testing, fault detection, program testing, software cost estimation, data flow testing, numerical programs
27Nicolas Bruno, Rimma V. Nehme Finding min-repros in database software. Search on Bibsonomy DBTest The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Lili Pan 0002, Beiji Zou, Hao Chen 0051, Haoyu Zhou Research on Translucent Mechanism-Based Infeasible Path. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Anuja Sehgal, Krishnendu Chakrabarty Optimization of Dual-Speed TAM Architectures for Efficient Modular Testing of SOCs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Full-chip testing, dual-speed TAM, TAM optimization, test scheduling, test access mechanism, SOC testing
27Ondrej Novák, Zdenek Plíva, Jiri Jenícek, Zbynek Mader, Michal Jarkovský Self Testing SoC with Reduced Memory Requirements and Minimized Hardware Overhead. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Qiang Xu 0001, Nicola Nicolici Wrapper design for multifrequency IP cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Noga Alon, Asaf Shapira A Characterization of the (natural) Graph Properties Testable with One-Sided Error. Search on Bibsonomy FOCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Abbas Tarhini, Hacène Fouchal Conformance Testing of Real-Time Component Based Systems. Search on Bibsonomy ISSADS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Abbas Tarhini, Antoine Rollet, Hacène Fouchal A pragmatic approach for testing robustness on real-time component based systems. Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Lei Li 0036, Krishnendu Chakrabarty, Seiji Kajihara, Shivakumar Swaminathan Efficient Space/Time Compression to Reduce Test Data Volume and Testing Time for IP Cores. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Eldar Fischer, Lance Fortnow Tolerant Versus Intolerant Testing for Boolean Properties. Search on Bibsonomy CCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz Reducing test-data volume using P-testable scan chains in circuits with multiple scan chains. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Anuja Sehgal, Krishnendu Chakrabarty Efficient Modular Testing of SOCs Using Dual-Speed TAM Architectures. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Harry M. Sneed Program Comprehension for the Purpose of Testing. Search on Bibsonomy IWPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test documentation tools, testing, software comprehension, testing requirements
27Martin Zambaldi, Wolfgang Ecker How to Bridge the Gap Between Simulationand Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Abhijit Jas, Jayabrata Ghosh-Dastidar, Mom-Eng Ng, Nur A. Touba An efficient test vector compression scheme using selective Huffman coding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Wenjing Rao, Alex Orailoglu Virtual Compression through Test Vector Stitching for Scan Based Designs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz Reducing Test Data Volume Using Random-Testable and Periodic-Testable Scan Chains in Circuits with Multiple Scan Chains. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Lisa Crispin Test Drive for Testers: What, When, and How Testers Do for XP Teams. Search on Bibsonomy XP/Agile Universe The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Sazzadur Chowdhury, Majid Ahmadi, Graham A. Jullien, William C. Miller A MEMS socket system for high density SoC interconnection. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Iboun Taimiya Sylla, Mustapha Slamani, Bozena Kaminska A Unity Gain High Speed Buffer to Improve Signal Integrity in High Frequency Test Interface. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ATE interface, impedance matching, design for testability, high speed test
27Nai-Yin Sung, Tsung-Yi Wu A Method of Embedded Memory Access Time Measurement. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1002 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license