The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase global-interconnect (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-2001 (15) 2002-2003 (17) 2004-2005 (27) 2006-2007 (24) 2008-2010 (17) 2011-2023 (12)
Publication types (Num. hits)
article(26) incollection(1) inproceedings(85)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 73 occurrences of 56 keywords

Results
Found 112 publication records. Showing 112 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
59Jian Li 0061, Rajesh K. Gupta 0001 An Algorithm To Determine Mutually Exclusive Operations In Behavioral Descriptions. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
57Basab Datta, Wayne P. Burleson Analysis and mitigation of NBTI-impact on PVT variability in repeated global interconnect performance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tunable buffer, variability, NBTI, global-interconnect
53Andrew B. Kahng, Sudhakar Muddu, Egino Sarto, Rahul Sharma Interconnect Tuning Strategies for High-Performance Ics. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
50Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Global interconnect sizing and spacing with consideration of coupling capacitance. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF GISS solutions, asymmetric wire sizing, delay reduction, fringing capacitances, global interconnect sizing and spacing, global wire sizing, interconnect delay minimization, multiple nets, optimal wire sizing, spacing solution, symmetric effective fringing properties, VLSI, coupling capacitance
49Navneeth Kankani, Vineet Agarwal, Janet Meiling Wang A probabilistic analysis of pipelined global interconnect under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Wave-pipelined on-chip global interconnect. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42DiaaEldin Khalil, Yehea I. Ismail A global interconnect link design for many-core microprocessors. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, link, bus, repeater insertion
42Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, statistical timing analysis
39Emrah Acar, Sani R. Nassif, Ying Liu, Lawrence T. Pileggi Time-Domain Simulation of Variational Interconnect Models. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, Interconnect, variational models, reduced order modeling
34Xiaoling Sun, A. Alimohammad, Pieter M. Trouborst Modeling of FPGA Local/Global Interconnect Resources and Derivation of Minimal Test Configurations. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF global/local interconnect testing, modeling, graph coloring, greedy algorithms, FPGA testing
34Min Ni, Seda Ogrenci Memik Self-heating-aware optimal wire sizing under Elmore delay model. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Yehia Massoud, Arthur Nieuwoudt Modeling and design challenges and solutions for carbon nanotube-based interconnect in future high performance integrated circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanotube bundle, interconnect, inductance, Carbon nanotube, resistance
33Abinash Roy, Masud H. Chowdhury Impacts of Inductance on the Figures of Merit to Optimize Global Interconnect. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk High-throughput interconnect wave-pipelining for global communication in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Dennis Sylvester, Kurt Keutzer A global wiring paradigm for deep submicron design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Basel Halak, Santosh Shedabale, Hiran Ramakrishnan, Alexandre Yakovlev, Gordon Russell 0002 The impact of variability on the reliability of long on-chip interconnect in the presence of crosstalk. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cross-talk, interconnect, variability, Bit Error Rate(BER)
30Jason Cong, Lei He 0001 Theory and algorithm of local-refinement-based optimization with application to device and interconnect sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29M. Addino, Mario R. Casu, Guido Masera, Gianluca Piccinini, Maurizio Zamboni A Block-Based Approach for SoC Global Interconnect Electrical Parameters Characterization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical crosstalk aggressor alignment aware interconnect delay calculation. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Ankireddy Nalamalpu, Sriram Srinivasan, Wayne P. Burleson Boosters for driving long onchip interconnects - design issues, interconnect synthesis, and comparison with repeaters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Abinash Roy, Masud H. Chowdhury Global Interconnect Optimization in the Presence of On-chip Inductance. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Ahmed Youssef, Tor Myklebust, Mohab Anis, Mohamed I. Elmasry A Low-Power Multi-Pin Maze Routing Methodology. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Amir H. Ajami, Kaustav Banerjee, Massoud Pedram Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Kaustav Banerjee, Amit Mehrotra Inductance Aware Interconnect Scaling. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Vinita V. Deodhar, Jeffrey A. Davis Optimization of throughput performance for low-power VLSI interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Min Tang, Jun-Fa Mao Optimization of Global Interconnects in High Performance VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Yici Cai, Yibo Wang, Xianlong Hong A global interconnect optimization algorithm under accurate delay model using solution space smoothing. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Ajay Joshi, Jeffrey A. Davis A 2-slot time-division multiplexing (TDM) interconnect network for gigascale integration (GSI). Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect area, wire sharing, time-division multiplexing
19Jingye Xu, Pervez Khaled, Masud H. Chowdhury Fast bus waveform estimation at the presence of coupling noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coupling noise, global interconnect
19Jonggab Kil, Jie Gu 0003, Chris H. Kim A high-speed variation-tolerant interconnect technique for sub threshold circuits using capacitive boosting. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF capacitive boosting, sub-threshold circuit, clock skew, global interconnect, variation tolerance
19Jason Cong, Yiping Fan, Zhiru Zhang Architecture-level synthesis for automatic interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, multi-cycle communication, scheduling, high-level synthesis, register binding
18Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical Timing Analysis in the Presence of Signal-Integrity Effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Youngsoo Shin, Hyung-Ock Kim Analysis of power consumption in VLSI global interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Lilian Bossuet, Wayne P. Burleson, Guy Gogniat, Vikas Anand, Andrew Laffely, Jean Luc Philippe Targeting Tiled Architectures in Design Exploration. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Pranav Anbalagan, Jeffrey A. Davis Maximum multiplicity distributions (MMD). Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF system-level prediction, wire-length distributions, simulated annealing
18Jason Cong, Lei He 0001 An efficient technique for device and interconnect optimization in deep submicron designs. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Siad Daboul, Stephan Held, Bento Natura, Daniel Rotter Global Interconnect Optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Mark A. Anders 0001, Himanshu Kaul, Seongjong Kim, Gregory K. Chen, Raghavan Kumar, Huseyin Ekin Sumbul, Phil C. Knag, Monodeep Kar, Steven K. Hsu, Amit Agarwal 0001, Vikram B. Suresh, Sanu K. Mathew, Ram K. Krishnamurthy, Vivek De 25.9 Reconfigurable Transient Current-Mode Global Interconnect Circuits in 10nm CMOS for High-Performance Processors with Wide Voltage-Frequency Operating Range. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Siad Daboul, Stephan Held, Bento Natura, Daniel Rotter Global Interconnect Optimization. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Adarsha Balaji, Yuefeng Wu, Anup Das 0001, Francky Catthoor, Siebren Schaafsma Exploration of Segmented Bus As Scalable Global Interconnect for Neuromorphic Computing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Zubair Al Azim, Akhilesh Jaiswal 0001, Indranil Chakraborty, Kaushik Roy 0001 Capacitively Driven Global Interconnect with Magnetoelectric Switching Based Receiver for Higher Energy Efficiency. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Igor Gadelha Pereira, Leonardo Alves Dias, Cleonilson Protásio de Souza A Shift-Register Based BIST Architecture for FPGA Global Interconnect Testing and Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jianfei Jiang 0001, Weifeng He, Jizeng Wei, Qin Wang 0009, Zhigang Mao Design optimization for capacitive-resistively driven on-chip global interconnect. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Oluseyi A. Ayorinde, Benton H. Calhoun Circuit optimizations to minimize energy in the global interconnect of a low-power-FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Shuo Li 0002, Ahmed Hemani Global Interconnect and Control Synthesis in System Level Architectural Synthesis Framework. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jianfei Jiang 0001, Wei-Guang Sheng, Zhi-Gang Mao, Wei-Feng He A pre-emphasis circuit design for high speed on-chip global interconnect. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Jianfei Jiang 0001, Xu Wang, Wei-Guang Sheng, Wei-Feng He, Zhi-Gang Mao A clock-less transceiver for global interconnect. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Zaixiao Zheng, Zhigang Mao, Jianfei Jiang 0001 An efficient 90nm technology-node GHz transceiver of on-chip global interconnect. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Taemin Kim, Xun Liu A global interconnect reduction technique during high level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Suwen Yang, Robert J. Drost, Mark R. Greenstreet, Shahriar Mirabbasi, Frank O'Mahony Varactor-based signal restoration for near-speed-of-light surfing global interconnect. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Mohammad Moghaddam Tabrizi, Nasser Masoumi Low-power and high-performance techniques in global interconnect signaling. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Yulei Zhang 0002, Ling Zhang, Alina Deutsch, George A. Katopis, Daniel M. Dreps, James F. Buckwalter, Ernest S. Kuh, Chung-Kuan Cheng Design methodology of high performance on-chip global interconnect using terminated transmission-line. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Cheng-Kok Koh, Evangeline F. Y. Young, Yao-Wen Chang Global Interconnect Planning. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Prashant Singh, Jae-sun Seo, David T. Blaauw, Dennis Sylvester Self-Timed Regenerators for High-Speed and Low-Power On-Chip Global Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Wei-Sheng Huang, Yu-Ru Hong, Juinn-Dar Huang, Ya-Shih Huang A multicycle communication architecture and synthesis flow for Global interconnect Resource Sharing. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jingye Xu, Abinash Roy, Masud H. Chowdhury Optimization technique for flip-flop inserted global interconnect. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jingye Xu, Abinash Roy, Masud H. Chowdhury Power Consumption and BER of Flip-Flop Inserted Global Interconnect. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jennifer L. Wong, Seapahn Megerian, Miodrag Potkonjak Minimizing Global Interconnect in DSP Systems using Bypassing. Search on Bibsonomy ICASSP (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yoichi Yuyama, Akira Tsuchiya, Kazutoshi Kobayashi, Hidetoshi Onodera Alternate Self-Shielding for High-Speed and Reliable On-Chip Global Interconnect. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Lele Jiang, Junfa Mao Global Interconnect Analysis and Optimization for Nanometer Scale VLSI. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jinsook Kim, Weiping Ni, Edwin C. Kan A novel global interconnect method using nonlinear transmission lines. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Vinita V. Deodhar, Jeffrey A. Davis Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for Wave-Pipelined VLSI Global Interconnect Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16James W. Joyner, Payman Zarkesh-Ha, James D. Meindl Global interconnect design in a three-dimensional system-on-a-chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Mariagrazia Graziano, Mario R. Casu, Guido Masera, Gianluca Piccinini, Maurizio Zamboni Effects of temperature in deep-submicron global interconnect optimization in future technology nodes. Search on Bibsonomy Microelectron. J. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yuantao Peng, Xun Liu Global interconnect optimization with simultaneous macrocell placement and repeater insertion. Search on Bibsonomy SoCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Mario R. Casu, Mariagrazia Graziano, Gianluca Piccinini, Guido Masera, Maurizio Zamboni Effects of Temperature in Deep-Submicron Global Interconnect Optimization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex Global interconnect trade-off for technology over memory modules to application level: case study. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Pareto-optimal energy/delay interconnect exploration, interconnect wire processing, intra/inter-memory interconnect
16Yu Cao 0001, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Sudhakar Muddu, Dirk Stroobandt, Dennis Sylvester Effects of Global Interconnect Optimizations on Performance Estimation of Deep Submicron Design. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Andrew A. Duncan, David C. Hendry DSP datapath synthesis eliminating global interconnect. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15Mark J. Cianchetti, Joseph C. Kerekes, David H. Albonesi Phastlane: a rapid transit optical routing network. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection networks, multicore, optical interconnects, nanophotonics
15Stephan Bourduas, Zeljko Zilic A Hybrid Ring/Mesh Interconnect for Network-on-Chip Using Hierarchical Rings for Global Routing. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry POMR: a power-aware interconnect optimization methodology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15John Y. Oliver, Ravishankar Rao, Paul Sultana, Jedidiah R. Crandall, Erik Czernikowski, Leslie W. Jones IV, Diana Franklin, Venkatesh Akella, Frederic T. Chong Synchroscalar: A Multiple Clock Domain, Power-Aware, Tile-Based Embedded Processor. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15John Y. Oliver, Ravishankar Rao, Paul Sultana, Jedidiah R. Crandall, Erik Czernikowski, Leslie W. Jones IV, Dean Copsey, Diana Keen, Venkatesh Akella, Frederic T. Chong Synchroscalar: Initial Lessons in Power-Aware Design of a Tile-Based Embedded Architecture. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Vinita V. Deodhar, Jeffrey A. Davis Voltage scaling and repeater insertion for high-throughput low-power interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Paul Wielage, Kees Goossens Networks on Silicon: Blessing or Nightmare? Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13M.-C. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong, Glenn Reinman RF interconnects for communications on-chip. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RF-interconnect, network-on-chip, chip multiprocessors
13Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling
13Yu Ching Chang, King Ho Tam, Lei He 0001 Power-optimal repeater insertion considering Vdd and Vth as design freedoms. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, buffer insertion
13Ray Robert Rydberg III, Jabulani Nyathi, José G. Delgado-Frias A distributed FIFO scheme for on chip communication. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Buffer insertion for noise and delay optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Leon Stok Interconnect optimisation during data path allocation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Jason Cong, Sung Kyu Lim Retiming-based timing analysis with an application to mincut-based global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Kenneth L. Shepard, Vinod Narayanan, Peter C. Elmendorf, Gutuan Zheng Global harmony: coupled noise analysis for full-chip RC interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect, noise, static timing analysis
9Ashutosh Chakraborty, David Z. Pan On stress aware active area sizing, gate sizing, and repeater insertion. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, buffer, sizing, stress, repeater
9Christianto C. Liu, Jeng-Huei Chen, Rajit Manohar, Sandip Tiwari Mapping system-on-chip designs from 2-D to 3-D ICs. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Hua Tang, Hui Zhang 0057, Alex Doboli Layout-Aware Analog System Synthesis Based on Symbolic Layout Description and Combined Block Parameter Exploration, Placement and Global Routing. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Feodor F. Dragan, Andrew B. Kahng, Ion I. Mandoiu, Sudhakar Muddu, Alexander Zelikovsky Provably good global buffering by generalized multiterminalmulticommodity flow approximation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Feodor F. Dragan, Andrew B. Kahng, Ion I. Mandoiu, Sudhakar Muddu, Alexander Zelikovsky Provably good global buffering by multi-terminal multicommodity flow approximation. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Pierre G. Paulin, John P. Knight Force-directed scheduling for the behavioral synthesis of ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
7Yu-Ju Hong, Ya-Shih Huang, Juinn-Dar Huang Simultaneous data transfer routing and scheduling for interconnect minimization in multicycle communication architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
7Ja Chun Ku, Yehea I. Ismail Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Masaru Takesue The SKB: A Semi-Completely-Connected Bus for On-Chip Systems. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Ja Chun Ku, Yehea I. Ismail Thermal-aware methodology for repeater insertion in low-power VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
7Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik F. Hamann, Alan J. Weger, Pradip Bose Thermal-aware task scheduling at the system software level. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
7Milenko Drinic, Darko Kirovski, Seapahn Megerian, Miodrag Potkonjak Latency-Guided On-Chip Bus-Network Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Marc Duranton The Challenges for High Performance Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Zhanyuan Jiang, Shiyan Hu, Jiang Hu, Zhuo Li 0001, Weiping Shi A new RLC buffer insertion algorithm. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical gate delay calculation with crosstalk alignment consideration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Yu Cao 0001, Xuejue Huang, Dennis Sylvester, Tsu-Jae King 0001, Chenming Hu Impact of on-chip interconnect frequency-dependent R(f)L(f) on digital and RF design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 112 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license