The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ETW"( http://dblp.L3S.de/Venues/ETW )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ets

Publication years (Num. hits)
1999 (28) 2000 (26) 2001 (19) 2002 (18) 2003 (24)
Publication types (Num. hits)
inproceedings(110) proceedings(5)
Venues (Conferences, Journals, ...)
ETW(115)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 115 publication records. Showing 115 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sandeep Kumar Goel, Erik Jan Marinissen Control-aware test architecture design for modular SOC testing. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Alessandra Fudoli, Alberto Ascagni, Davide Appello, Hans A. R. Manhaeve A practical evaluation of IDDQ test strategies for deep submicron production test application. Experiences and targets from the field. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Ozgur Sinanoglu, Alex Orailoglu Parity-based output compaction for core-based SOCs [logic testing]. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1M. J. Geuzebroek, Ad J. van de Goor TPI for improving PR fault coverage of Boolean and three-state circuits. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1H. J. Vermaak, Hans G. Kerkhoff Enhanced P1500 compliant wrapper suitable for delay fault testing of embedded cores. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Salvador Manich, L. García, Luz Balado, Emili Lupon, Josep Rius 0001, Rosa Rodríguez-Montañés, Joan Figueras On the selection of efficient arithmetic additive test pattern generators [logic test]. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Simone Borri, Magali Hage-Hassan, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel Defect-oriented dynamic fault models for embedded-SRAMs. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Daniel Arumí-Delgado, Rosa Rodríguez-Montañés, José Pineda de Gyvez, Guido Gronthoud Process-variability aware delay fault testing of ΔVT and weak-open defects. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Fulvio Corno, Giovanni Squillero, Matteo Sonza Reorda Code generation for functional validation of pipelined microprocessors. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Octavian Petre, Hans G. Kerkhoff Scan test strategy for asynchronous-synchronous interfaces [SoC testing]. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Marco Rona, Gunter Krampl, Fritz Raczkowski Automating the device interface board modeling for virtual test. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Marten Seth RF ATE equipment benefit from advanced network analyzer technology. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1 8th European Test Workshop, ETW 2003, Maastricht, The Netherlands, May 25-28, 2003 Search on Bibsonomy ETW The full citation details ... 2003 DBLP  BibTeX  RDF
1Said Hamdioui, Rob Wadsworth, John Delos Reyes, Ad J. van de Goor Importance of dynamic faults for new SRAM technologies. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Timm Ostermann, Bernd Deutschmann Characterization of the EME of integrated circuits with the help of the IEC standard 61967 [electromagnetic emission]. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Anuja Sehgal, Aishwarya Dubey, Erik Jan Marinissen, Clemens Wouters, Harald P. E. Vranken, Krishnendu Chakrabarty Yield analysis for repairable embedded memories. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Bharath Seshadri, Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu On path selection for delay fault testing considering operating conditions [logic IC testing]. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Erik Moerman, Sébastien Bocq, Johan Verfaillie Debug architecture for system on chip taking full advantage of the test access port. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Ilia Polian, Piet Engelke, Michel Renovell, Bernd Becker 0001 Modeling feedback bridging faults with non-zero resistance. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Victor Avendaño, Víctor H. Champac, Joan Figueras Signal integrity loss in bus lines due to open shielding defects. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Julien Pouget, Erik Larsson, Zebo Peng, Marie-Lise Flottes, Bruno Rouzeyre An efficient approach to SoC wrapper design, TAM configuration and test scheduling. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Eric Liau, Doris Schmitt-Landsiedel Automatic worst case pattern generation using neural networks & genetic algorithm for estimation of switching noise on power supply lines in CMOS circuits. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell Requirements for delay testing of look-up tables in SRAM-based FPGAs. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Helmut Lang, Bhuwnesh Pande, Heiko Ahrens Automating test program generation in STIL - expectations and experiences using IEEE 1450 [standard test interface language]. Search on Bibsonomy ETW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Hans A. R. Manhaeve, Joseph S. Vaccaro, Loren Benecke, David Prystasz A real world application used to implement a true IDDQ based test strategy (facts and figures). Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ozgur Sinanoglu, Ismet Bayraktaroglu, Alex Orailoglu Dynamic test data transformations for average and peak power reductions. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Lars Schäfer, Rainer Dorsch, Hans-Joachim Wunderlich RESPIN++ - deterministic embedded test. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Goel, Erik Jan Marinissen A novel test time reduction algorithm for test architecture design for core-based system chips. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Gregory S. Spirakis Silicon technology advances and implications on test. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Serge Bernard, Florence Azaïs, Yves Bertrand, Michel Renovell A high accuracy triangle-wave signal generator for on-chip ADC testing. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Srikanth Arekapudi, Fei Xin, Jinzheng Peng, Ian G. Harris ATPG for timing-induced functional errors on trigger events in hardware-software systems. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Yun Shao 0002, Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara On selecting testable paths in scan designs. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Martin John Burbidge, Frédéric Poullet, Jim Tijou, Andrew M. D. Richardson Investigations for minimum invasion digital only built-in "ramp" based test techniques for charge pump PLL's. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Harald P. E. Vranken, Florian Meister, Hans-Joachim Wunderlich Combining deterministic logic BIST with test point insertion. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Michel Renovell, Jean Marc Gallière, Florence Azaïs, Yves Bertrand Modeling gate oxide short defects in CMOS minimum transistors. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Novel ATPG algorithms for transition faults. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1 7th European Test Workshop, ETW 2002, Corfu, Greece, May 26-29, 2002 Search on Bibsonomy ETW The full citation details ... 2002 DBLP  BibTeX  RDF
1Jonathan Bradford, Hartmut Delong, Ilia Polian, Bernd Becker 0001 Simulating realistic bridging and crosstalk faults in an industrial setting. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Erik Larsson, Hideo Fujiwara Power constrained preemptive TAM scheduling. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Goel, Bart Vermeulen Data invalidation analysis for scan-based debug on multiple-clock system chips. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Andrzej Hlawiczka, Michal Kopec Dependable testing of compactor MISR: an imperceptible problem? Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Tiziana Margaria, Oliver Niese, Bernhard Steffen, Andrei Erochok System level testing of virtual switch (re-)configuration over IP. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1D. C. L. (Erik) van Geest, Frans G. M. de Jong System-level DFT for consumer products. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Rainer Dorsch, Hans-Joachim Wunderlich Reusing scan chains for test pattern decompression. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Wilfried Daehn Demodulation based testing of off-chip driver performance. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1 6th European Test Workshop, ETW 2001, Stockholm, Sweden, May 29 - June 1, 2001 Search on Bibsonomy ETW The full citation details ... 2001 DBLP  BibTeX  RDF
1Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL design validation, DFT and test pattern generation for high defects coverage. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Oliver Niese, Tiziana Margaria, Andreas Hagerer, Bernhard Steffen, Georg Brune, Werner Goerigk, Hans-Dieter Ide Automated regression testing of CTI-systems. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Matthew Worsman, Mike W. T. Wong, Yim-Shu Lee The use of equivalent fault analysis to improve static D.C. fault diagnosis - a potentiometric DAC case study. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Jean-Michel Portal, Annie Pérez Analyzing bridging faults impact on EEPROM cell array. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Magnus Eckersand, Fredrik Franzon, Ken Filliter Using at-speed BIST to test LVDS serializer/deserializer function. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Liquan Fang, Guido Gronthoud, Hans G. Kerkhoff Reducing analogue fault-simulation time by using high-level modelling in dotss for an industrial design. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Maisaa Khalil, Chantal Robach System level diagnosis - a comparison of two alternative approaches. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Yukiya Miura, Shuichi Seno Internal feedback bridging faults in combinational CMOS circuits: analysis and testing. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Marco Rona, Gunter Krampl A VHDL-based virtual test concept for pre-silicon test-program debug. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Mohsen Nahvi, André Ivanov A packet switching communication-based test access mechanism for system chips. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel On hardware generation of random single input change test sequences. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Joonhwan Yi, John P. Hayes A fault model for function and delay testing. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1V. A. Zivkovic, Ronald J. W. T. Tangelder, Hans G. Kerkhoff An implementation for test-time reduction in VLIW transport-triggered architectures. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Herman J. Vermaak, Hans G. Kerkhoff Reducing the susceptibility of design-for-delay-testability structures to process- and application-induced variations. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Daniela De Venuto, M. J. Ohletzo, Bruno Riccò On-chip signal level evaluation for mixed-signal ICs using digital window comparators. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy On the use of multiple fault detection times in a method for built-in test pattern generation for synchronous sequential circuits. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL-based functional test generation for high defects coverage in digital SOCs. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Marco Boschini, Xiaoming Yu, Franco Fummi, Elizabeth M. Rudnick Combining symbolic and genetic techniques for efficient sequential circuit test generation. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Yiorgos Makris, Jamison Collins, Alex Orailoglu How to avoid random walks in hierarchical test path identification. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Bram Kruseman Comparison of defect detection capabilities of current-based and voltage-based test methods. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Anton Chichkov, Dirk Merlier, Peter Cox Current testing procedure for deep submicron devices. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Tomasz Garbolino, Andrzej Hlawiczka, Adam Kristof Fast and low-area TPGs based on T-type flip-flops can be easily integrated to the scan path. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero, Massimo Violante CA-CSTP: a new BIST architecture for sequential circuits. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Nuno Cardoso, Carlos Beltrán Almeida, José Carlos da Silva 0001 A system level boundary scan controller board for VME applications [to CERN experiments]. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Jörg E. Vollrath, Ulf Lederer, Thomas Hladschik Compressed bit fail maps for memory fail pattern classification. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1David Berthelot, Marie-Lise Flottes, Bruno Rouzeyre A method for trading off test time, area and fault coverage in datapath BIST synthesis. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Daniela De Venuto, Michael J. Ohletz, G. Matarrese Static and dynamic on-chip test response evaluation using a two-mode comparator. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Ismet Bayraktaroglu, Alex Orailoglu Low cost concurrent test implementation for linear digital systems. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1 5th European Test Workshop, ETW 2000, Cascais, Portugal, May 23-26, 2000 Search on Bibsonomy ETW The full citation details ... 2000 DBLP  BibTeX  RDF
1Masaru Sanada Defect detection from visual abnormalities in manufacturing process using IDDQ. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Monica Lobetti Bodoni, Alfredo Benso, Silvia Chiusano, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto An effective distributed BIST architecture for RAMs. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian Analyzing the test generation problem for an application-oriented test of FPGAs. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Andrew Burdass, Gary Campbell, Richard Grisenthwaite, David Gwilt, Peter Harrod, Richard York Microprocessor cores. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Arnaud Virazel, René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Delay fault testing: choosing between random SIC and random MIC test sequences. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Florence Azaïs, Serge Bernard, Y. Betrand, Michel Renovell Towards an ADC BIST scheme using the histogram test technique. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Antoni Ferré, Joan Figueras LEAP: An accurate defect-free IDDQ estimator. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Piet Engelke, Bernd Becker 0001, Martin Keim A parameterizable fault simulator for bridging faults. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Han Speek, Hans G. Kerkhoff, Manoj Sachdev, Mansour Shashaani Bridging the testing speed gap: design for delay testability. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Sandip Kundu, Sanjay Sengupta, Rajesh Galivanche Test challenges in nanometer technologies. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Marcello Lajolo, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante, Luciano Lavagno System-level test bench generation in a co-design framework. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Mykola Blyzniuk, T. Cibáková, Elena Gramatová, Wieslaw Kuzmicz, M. Lobur, Witold A. Pleskacz, Jaan Raik, Raimund Ubar Hierarchical defect-oriented fault simulation for digital circuits. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1R. H. Beurze, Y. Xing, R. van Kleef, Ronald J. W. T. Tangelder, Nur Engin Practical implementation of defect-oriented testing for a mixed-signal class-D amplifier. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Marie-Lise Flottes, Christian Landrault, A. Petitqueux Partial set for flip-flops based on state requirement for non-scan BIST scheme. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Gustavo Ribeiro Alves, José Manuel Martins Ferreira Using the BS register for capturing and storing n-bit sequences in real-time. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Peter Muhmenthaler Cost effective testing of systems on silicon: areas for optimization. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Sheng-Jer Kuo, Chung Len Lee 0001, Soon-Jyh Chang, Jwu E. Chen A DFT for semi-DC fault diagnosis for switched-capacitor circuits. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Michel Renovell, Florence Azaïs, J.-C. Bodin, Yves Bertrand Functional and structural testing of switched-current circuits. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Salvador Mir, Benoît Charlot, Bernard Courtois Extending fault-based testing to microelectromechanical systems. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Harald P. E. Vranken Debug facilities in the TriMedia CPU64 architecture. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy On avoiding undetectable faults during test generation. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Test configuration minimization for the logic cells of SRAM-based FPGAs: a case study. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Toshiyuki Maeda, Kozo Kinoshita Compaction of IDDQ test sequence using reassignment method. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Fulvio Corno, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante A new BIST architecture for low power circuits. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Jaan Raik, Raimund Ubar High-level path activation technique to speed up sequential circuit test generation. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 115 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license