The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGA with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (21) 1993 (21) 1994 (76) 1995 (112) 1996 (116) 1997 (123) 1998 (227) 1999 (271) 2000 (307) 2001 (295) 2002 (365) 2003 (551) 2004 (702) 2005 (754) 2006 (1038) 2007 (989) 2008 (1061) 2009 (854) 2010 (720) 2011 (744) 2012 (743) 2013 (885) 2014 (852) 2015 (885) 2016 (898) 2017 (1035) 2018 (1028) 2019 (1243) 2020 (1074) 2021 (1141) 2022 (1044) 2023 (1081) 2024 (230)
Publication types (Num. hits)
article(5776) book(12) data(5) incollection(50) inproceedings(15376) phdthesis(235) proceedings(32)
Venues (Conferences, Journals, ...)
FPGA(1618) FPL(1411) FCCM(710) CoRR(617) FPT(537) ISCAS(393) Microprocess. Microsystems(365) ReConFig(346) IEEE Access(266) IEEE Trans. Very Large Scale I...(261) DATE(256) DSD(247) ARC(232) IEEE Trans. Comput. Aided Des....(200) IPDPS(198) DAC(197) More (+10 of total 2083)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6285 occurrences of 1975 keywords

Results
Found 21486 publication records. Showing 21486 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
37Stephen Jang, Dennis Wu, Mark Jarvin, Billy Chan, Kevin Chung, Alan Mishchenko, Robert K. Brayton SmartOpt: an industrial strength framework for logic synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF abc, blif, edge flow, smartopt, fpga, interface, technology mapping
37Zuo Wang, Feng Shi 0009, Qi Zuo, Weixing Ji, Mengxiao Liu N-port memory mapping for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logical-to-physical mapping, n-port memory, fpga, hierarchy
37Paul Teehan, Guy G. Lemieux, Mark R. Greenstreet Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip serdes, fpga, reliable, network-on-chip, interconnect, programmable, wave pipelining, bit-serial, surfing
37David M. Lewis, Elias Ahmed, David Cashman, Tim Vanderhoek, Christopher Lane, Andy Lee, Philip Pan Architectural enhancements in Stratix-IIITM and Stratix-IVTM. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power management, memory, fpga architecture, static power
37Jason Yu, Guy G. Lemieux, Christopher Eagleston Vector processing as a soft-core CPU accelerator. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF C2H, FPGA, configurable, embedded processor, application specific, soft processor, data-level parallelism
37Mingjie Lin, Abbas El Gamal TORCH: a design tool for routing channel segmentation in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, performance analysis, segmentation, routing architecture
37Sumanta Chaudhuri, Jean-Luc Danger, Philippe Hoogvorst, Sylvain Guilley Efficient tiling patterns for reconfigurable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA hexagonal octagonal, tiling interconnect
37Yohei Matsumoto, Masakazu Hioki, Takashi Kawanami, Toshiyuki Tsutsumi, Tadashi Nakagawa, Toshihiro Sekigawa, Hanpei Koike Performance and yield enhancement of FPGAs with within-die variation using multiple configurations. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, configuration, within-die variation, timing yield
37N. Pete Sedcole, Peter Y. K. Cheung Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modelling, FPGA, delay, reconfiguration, process variation, yield
37Satish Sivaswamy, Kia Bazargan Variation-aware routing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical timing analysis, FPGA routing
37Jason Cong, Kirill Minkovich Improved SAT-based Boolean matching using implicants for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF logic synthesis, SAT, implicant, boolean matching, FPGA lookup table
37David Slogsnat, Alexander Giese, Ulrich Brüning 0001 A versatile, low latency HyperTransport core. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HTX, HyperTransport, FPGA, prototyping, RTL
37Lilian Atieno, Jonathan Allen, Dennis Goeckel, Russell Tessier An adaptive Reed-Solomon errors-and-erasures decoder. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, power reduction, Reed-Solomon
37Christopher R. Clark, David E. Schimmel Modeling the data-dependent performance of pattern-matching architectures. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, pattern matching
37Timothy F. Oliver, Bertil Schmidt, Douglas L. Maskell Hyper customized processors for bio-sequence database scanning on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic re-configuration, FPGA, bio-informatics, Smith-Waterman
37Greg Stitt, Zhi Guo, Walid A. Najjar, Frank Vahid Techniques for synthesizing binaries to an advanced register/memory structure. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF smart buffers, FPGA, embedded systems, synthesis, decompilation, binaries
37Aman Gayasen, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Tim Tuan Reducing leakage energy in FPGAs using region-constrained placement. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF region-constrained placement, FPGA, leakage power
37Sergio López-Buedo, Eduardo I. Boemo Making visible the thermal behaviour of embedded microprocessors on FPGAs: a progress report. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF JBits, FPGA, embedded processors, run-time reconfiguration, ring-oscillator, temperature measurement
37Peter Suaris, Lung-Tien Liu, Yuzheng Ding, Nan-Chi Chou Incremental physical resynthesis for timing optimization. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, placement, logic synthesis, timing optimization
37François-Xavier Standaert, Gaël Rouvroy, Jean-Jacques Quisquater, Jean-Didier Legat A methodology to implement block ciphers in reconfigurable hardware and its application to fast and compact AES RIJNDAEL. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF AES RIJNDAEL, high encryption rates, FPGA, cryptography, reconfigurable hardware
37Alan Daly, William P. Marnane Efficient architectures for implementing montgomery modular multiplication and RSA modular exponentiation on reconfigurable logic. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, encryption, RSA, public key, exponentiation, modular multiplication, montgomery
36Chen Huang 0005, Frank Vahid Server-side coprocessor updating for mobile devices with FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF coprocessing, fpgas, dynamic optimization, acceleration
36Masaki Kobata, Masahiro Iida, Toshinori Sueyoshi Effective clustering technique to optimize routability of outer cluster nets. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Joseph Zambreno, Rahul Simha, Alok N. Choudhary Addressing application integrity attacks using a reconfigurable architecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Elaheh Bozorgzadeh, Majid Sarrafzadeh Customized regular channel design in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Mike Estlick, Miriam Leeser, James Theiler, John J. Szymanski Algorithmic transformations in the implementation of K- means clustering on reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Atsushi Takahara, Toshiaki Miyazaki, Takahiro Murooka, Masaru Katayama, Kazuhiro Hayashi, Akihiro Tsutsui, Takaki Ichimori, Ken-nosuke Fukami More Wires and Fewer LUTs: A Design Methodology for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Benjamin A. Levine, Senthil Natarajan, Chandra Tan, Danny F. Newport, Donald W. Bouldin Mapping of an Automated Target Recognition Application from a Graphical Software Environment to FPGA-Based Reconfigurable Hardware. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF high-level design tools, FPGA design tools, Khoros, FPGA, configurable computing
36Krzysztof Kepa, Fearghal Morgan, Krzysztof Kosciuszkiewicz, Lars Braun, Michael Hübner 0001, Jürgen Becker 0001 FPGA Analysis Tool: High-Level Flows for Low-Level Design Analysis in Reconfigurable Computing. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design assurance, bitstream debugging, security, FPGA, Reconfigurable Computing, design verification, EDA tools
36Julien Lamoureux, Steven J. E. Wilton On the trade-off between power and flexibility of FPGA clock networks. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clock-aware placement, FPGA, low-power design, clock distribution networks
36Khalil Dayo, Abdul Qadeer Khan Rajput, Bhawani Shankar Chowdhry, Narinder P. Chowdhry Impact of Cluster Size on Efficient LUT-FPGA Architecture for Best Area and Delay Trade-Off. Search on Bibsonomy IMTIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CLBs, BLE, FPGA, Lookup table
36Lerong Cheng, Phoebe Wong, Fei Li 0003, Yan Lin 0001, Lei He 0001 Device and architecture co-optimization for FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Psim, Ptrace, powergating, FPGA, low power
36Brad L. Hutchings, Brent E. Nelson GigaOp DSP on FPGA. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, DSP
36Taraneh Taghavi, Soheil Ghiasi, Abhishek Ranjan, Salil Raje, Majid Sarrafzadeh Innovate or perish: FPGA physical design. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, partitioning, placement, physical design, floorplanning, delay estimation, routing architecture
36Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Probabilistic Analysis of Fault Tolerance of FPGA Switch Block Array. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, routing, testing
36Máire McLoone, John V. McCanny Single-Chip FPGA Implementation of the Advanced Encryption Standard Algorithm. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Encryption, AES, Rijndael, FPGA Implementation
36Akihiro Tsutsui, Toshiaki Miyazaki, Kazuhisa Yamada, Naohisa Ohta Special purpose FPGA for high-speed digital telecommunication systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF special purpose FPGA, high-speed digital telecommunication systems, high-speed transport data processing, inter-chip connection mechanism, dedicated CAD system, field programmable gate arrays, field programmable gate array, programmable logic arrays, digital communication
36Dan Fay, Alex Shye, Sayantan Bhattacharya, Daniel A. Connors, Steve Wichmann An Adaptive Fault-Tolerant Memory System for FPGA-based Architectures in the Space Environment. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Young-Su Kwon, Chong-Min Kyung Performance-driven event-based synchronization for multi-FPGA simulation accelerator with event time-multiplexing bus. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Toshiaki Miyazaki, Atsushi Takahara, Takahiro Murooka, Masaru Katayama, Takaki Ichimori, Kazuhiro Shirakawa, Akihiro Tsutsui, Ken-nosuke Fukami PROTEUS-Lite project: dedicated to developing a telecommunication-oriented FPGA and its applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Taiga Takata, Yusuke Matsunaga A heuristic algorithm for LUT-based FPGA technology mapping using the lower bound for DAG covering problem (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, technology mapping
35Akira Yamawaki 0002, Masahiko Iwane An intermediate hardware model with load/store unit for C to FPGA. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga., memory, design method, buffering
35Kirill Minkovich, Jason Cong Mapping for better than worst-case delays in LUT-based FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF better than worst-case, razor, switching probabilities, simulation, logic synthesis, technology mapping, FPGA lookup table
35Stephen Jang, Billy Chan, Kevin Chung, Alan Mishchenko WireMap: FPGA technology mapping for improved routability. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF area flow, cut enumeration, edge flow, FPGA, technology mapping
35Edward C. Lin, Kai Yu, Rob A. Rutenbar, Tsuhan Chen A 1000-word vocabulary, speaker-independent, continuous live-mode speech recognizer implemented in a single FPGA. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF in silico vox, FPGA, speech recognition, DSP
35Andrea Lodi 0002, Luca Ciccarelli, Roberto Giansante Combining low-leakage techniques for FPGA routing design. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low leakage, FPGA, power
35Raphael Rubin, André DeHon Design of FPGA interconnect for multilevel metalization. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multi-level metalization, FPGA, interconnect, hierarchical, mesh-of-trees
35Srdjan Coric, Miriam Leeser, Eric L. Miller 0001, Marc Trepanier Parallel-beam backprojection: an FPGA implementation optimized for medical imaging. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Viterbi coding, FPGA, dynamic reconfiguration
35Ian Robertson, James Irvine 0001, Patrick Lysaght, David Robinson Timing verification of dynamically reconfigurable logic for the xilinx virtex FPGA series. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, verification, dynamic reconfiguration, run-time reconfiguration
35Wei-Je Huang, Edward J. McCluskey A memory coherence technique for online transient error recovery of FPGA configurations. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, error recovery, memory coherence
35Ali M. Shankiti, Miriam Leeser Implementing a RAKE receiver for wireless communications on an FPGA-based computer system. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, wireless communications, RAKE receiver
35John Marty Emmert, Dinesh Bhatia A Methodology for Fast FPGA Floorplanning. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF clustering, FPGA, placement, Tabu search, floorplanning
35Rick Amerson, Richard J. Carter, W. Bruce Culbertson, Philip Kuekes, Greg Snider, Lyle Albertson Plasma: An FPGA for Million Gate Systems. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF custom computing, FPGA, register files
35Jason Helge Anderson, Chirag Ravishankar FPGA power reduction by guarded evaluation. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, logic synthesis, technology mapping
35Yi-Hua E. Yang, Viktor K. Prasanna High throughput and large capacity pipelined dynamic search tree on FPGA. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 2-3 tree, in-place update, ip forwarding, pipelined tree, b-tree, dynamic update, incremental update, openflow
35Tim Tuan, Sean Kao, Arifur Rahman, Satyaki Das, Steven Trimberger A 90nm low-power FPGA for battery-powered applications. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, programmable logic
35Paulo Sérgio B. do Nascimento, Paulo Romero Martins Maciel, Manoel Eusébio de Lima, Remy Eskinazi Sant'Anna, Abel Guilhermino Silva-Filho A partial reconfigurable FPGA implementation for industrial controllers using SFC-petri net description (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Nicola Bruti Liberati, Eckhard Platen, Filippo Martini, Massimo Piccardi An FPGA generator for multipoint distributed random variables (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Sven Heithecker, Rolf Ernst An FPGA based SDRAM controller with complex QoS scheduling and traffic shaping (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Alex K. Jones, Raymond Hoare, Dara Kusic, Joshua Fazekas, John Foster 0001 An FPGA-based VLIW processor with custom hardware execution. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NIOS, parallelism, compiler, synthesis, kernels, VLIW
35Sherif M. Saif, Hazem M. Abbas, Salwa M. Nassar An FPGA implementation of block truncation coding for gray and color images. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Pronita Mehrotra, Mrugendra Singhai, Mike Pratt, Mark Cassada, Patrick Hamilton FPGA implementation of a high speed network interface card for optical burst switched networks. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Roland E. Wunderlich, James C. Hoe In-system FPGA prototyping of an itanium microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Jae-Jin Lee, Gi-Yong Song Bit-level super-systolic array for FIR filter with a FPGA-based bit-serial semi-systolic multiplier. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Anatole D. Ruslanov, Jeremy R. Johnson An FPGA implementation of bene permutation networks. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Parag K. Lala, B. Kiran Kumar An FPGA architecture with built-in error correction capability. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Zdenek Pohl, Rudolf Matousek, Jiri Kadlec, Milan Tichý, Miroslav Lícko Lattice adaptive filter implementation for FPGA. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Abdsamad Benkrid, Danny Crookes, Khaled Benkrid Design framework for the implementation of the 2-D orthogonal discrete wavelet transform on FPGA. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Stuart McCracken, Zeljko Zilic FPGA test time reduction through a novel interconnect testing scheme. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Seetharaman Ramachandran, S. Srinivasan 0001 FPGA implementation of a novel, fast motion estimation algorithm for real-time video compression. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF quantization and variable length code, sum of absolute pixel intensity difference, motion estimation, discrete cosine transform, block matching algorithm, macroblock
35Chandra Mulpuri, Scott Hauck Runtime and quality tradeoffs in FPGA placement and routing. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fast CAD for FPGAs, FPGAs, routing, computer-aided design, placement
35Gerhard Lienhart, Reinhard Männer, Klaus-Henning Noffz, Ralf Lay An FPGA-based video compressor for H.263 compatible bit streams. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF distributed arithmetic
35Amit Singh 0001, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska Interconnect pipelining in a throughput-intensive FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Herman Schmit, Ray Andraka, Philip Friedin, Satnam Singh, Tim Southgate The John Henry Syndrome (panel session)(abstract only): humans vs. machines as FPGA designers. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Lan Zhao, D. M. H. Walker, Fabrizio Lombardi Bridging Fault Detection in FPGA Interconnects Using IDDQ. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Pak K. Chan, Martine D. F. Schlag, Jason Y. Zien Spectral-Based Multi-Way FPGA Partitioning. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
34Vasilios Kalenteridis, Haroula Pournara, Kostas Siozios, Konstantinos Tatas, George Koutroumpezis, Ilias Pappas 0001, Spiridon Nikolaidis 0001, Stilianos Siskos, D. J. Soudris, Adonios Thanailakis An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Low Power FPGA interconnect architecture, CLB Architecture, Graphical User Interface
34Roman L. Lysecky, Frank Vahid, Sheldon X.-D. Tan Dynamic FPGA routing for just-in-time FPGA compilation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, dynamic optimization, system-on-a-chip, platforms, codesign, hardware/software partitioning, just-in-time compilation, configurable logic, place and route, warp processors
34Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
34Valeri Kirischian, Vadim Geurkov, Lev Kirischian A multi-mode video-stream processor with cyclically reconfigurable architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cost-performance ratio, video-stream processor, FPGA, computer architecture, reconfigurable computing, dynamic reconfiguration, pre-fetching, temporal partitioning
34Zhen Luo, Margaret Martonosi Accelerating Pipelined Integer and Floating-Point Accumulations in Configurable Hardware with Delayed Addition Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Delayed addition, multiply-accumulate, FPGA, MAC, accumulation
34Charles E. Stroud, John Sunwoo, Srinivas M. Garimella, Jonathan Harris Built-In Self-Test for System-on-Chip: A Case Study. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Muhammed Kawser Ahmed, Christophe Bobda ISO-TENANT: Rethinking FPGA Power Distribution Network (PDN): A Hardware Based Solution for Remote Power Side Channel Attacks in FPGA. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
33Qianfeng Clark Shen, Jun Zheng, Paul Chow RIFL: A Reliable Link Layer Network Protocol for FPGA-to-FPGA Communication. Search on Bibsonomy FPGA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Raymond X. Nijssen FPGAs will Never be the Same Again: How the Newest FPGA Architectures are Totally Disrupting the Entire FPGA Ecosystem as We Know It. Search on Bibsonomy FPGA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
33Michaela Blott, Johannes Kath, Lisa Halder, Yaman Umuroglu, Nicholas J. Fraser, Giulio Gambardella, Miriam Leeser, Linda Doyle Evaluation of Optimized CNNs on FPGA and non-FPGA based Accelerators using a Novel Benchmarking Approach. Search on Bibsonomy FPGA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
33Dario Korolija, Mirjana Stojilovic Design and Implementation of a Deterministic FPGA Router on a CPU+FPGA Acceleration Platform. Search on Bibsonomy FPGA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
33Qingshan Tang, Matthieu Tuna, Habib Mehrez Future inter-FPGA communication architecture for multi-FPGA based prototyping (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
33Jason Cong, Bingjun Xiao FPGA-RR: an enhanced FPGA architecture with RRAM-based reconfigurable interconnects (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
32Charles Eric LaForest, J. Gregory Steffan Efficient multi-ported memories for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, parallel, memory, multi-port
32Chun Zhang, Yu Hu 0002, Lingli Wang, Lei He 0001, Jiarong Tong Building a faster boolean matcher using bloom filter. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, SAT, bloom filter, boolean matching, re-synthesis
32Shaoshan Liu, Richard Neil Pittman, Alessandro Forin Minimizing partial reconfiguration overhead with fully streaming DMA engines and intelligent ICAP controller (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, partial reconfiguration
32Doris Chen, Deshanand P. Singh, Jeffrey Chromczak, David M. Lewis, Ryan Fung, David Neto, Vaughn Betz A comprehensive approach to modeling, characterizing and optimizing for metastability in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mtbf, fpga, metastability
32Edward A. Stott, Justin S. J. Wong, N. Pete Sedcole, Peter Y. K. Cheung Degradation in FPGAs: measurement and modelling. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, self test
32Gregory Lucas, Chen Dong 0003, Deming Chen Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis
32Hao Wang, Shi Pu, Gabriel Knezek, Jyh-Charn Liu A modular NFA architecture for regular expression matching. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF character class constraint repetition, overlapped matching, FPGA, regular expression, NFA
32Shaoshan Liu, Richard Neil Pittman, Alessandro Forin Energy reduction with run-time partial reconfiguration (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, energy
32Hoang Le, Yi-Hua E. Yang, Viktor K. Prasanna Memory efficient string matching: a modular approach on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF deep packet classification, fpga, packet filtering
32Brahim Al Farisi, Karel Bruneel, Harald Devos, Dirk Stroobandt Automatic tool flow for shift-register-LUT reconfiguration: making run-time reconfiguration fast and easy (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parameterizable configurations, tmap, fpga, reconfigurable computing, run-time reconfiguration, srl, icap
Displaying result #201 - #300 of 21486 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license