The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SLIP"( http://dblp.L3S.de/Venues/SLIP )

URL (DBLP): http://dblp.uni-trier.de/db/conf/slip

Publication years (Num. hits)
2000 (16) 2001 (19) 2002 (16) 2003 (19) 2004 (17) 2005 (15) 2006 (17) 2007 (16) 2008-2009 (32) 2010 (17) 2011-2012 (28) 2013-2014 (21) 2015-2016 (18) 2017-2019 (18) 2020-2021 (28) 2022-2023 (15)
Publication types (Num. hits)
inproceedings(289) proceedings(23)
Venues (Conferences, Journals, ...)
SLIP(312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 350 occurrences of 190 keywords

Results
Found 312 publication records. Showing 312 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jin Guo 0001, Antonis Papanikolaou, Pol Marchal, Francky Catthoor Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF segmented bus, floorplanning, trade-offs
1Mandeep Bamal, Youssef Travaly, Wenqi Zhang, Michele Stucchi, Karen Maex Impact of interconnect resistance increase on system performance of low power and high performance designs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect sizing, interconnect technology evaluation, power-delay trade-off, wire sizing
1Young-Sin Cho, Eun-Ju Choi, Kyoung-Rok Cho Modeling and analysis of the system bus latency on the SoC platform. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-layer bus, system bus, SoC, latency, platform
1Wim Heirman, Joni Dambre, Jan M. Van Campenhout Congestion modeling for reconfigurable inter-processor networks. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection network, prediction, reconfiguration, congestion
1Valavan Manohararajah, Gordon R. Chiu, Deshanand P. Singh, Stephen Dean Brown Difficulty of predicting interconnect delay in a timing driven FPGA CAD flow. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Louis Scheffer An overview of on-chip interconnect variation. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF causes of variability, on-chip variation, design rules
1Mike Hutton, Joni Dambre (eds.) The Eigth International Workshop on System-Level Interconnect Prediction (SLIP 2006), Munich, Germany, March 4-5, 2006, Proceedings Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  BibTeX  RDF
1Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, multiprocessor, network-on-chip, topology, interconnect
1Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical crosstalk aggressor alignment aware interconnect delay calculation. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay-balanced, minimal-power, interconnects, repeaters
1Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Predicting interconnect requirements in ultra-large-scale integrated control logic circuitry. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wire-length distribution model, routing, interconnect, rent
1Igor L. Markov, Mike Hutton (eds.) The Seventh International Workshop on System-Level Interconnect Prediction (SLIP 2005), San Francisco, CA, USA, April 2-3, 2005, Proceedings Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  BibTeX  RDF
1David J. Hathaway Dealing with the spatio-temporal interactions among transient power, supply noise and timing. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Takumi Uezono, Junpei Inoue, Takanori Kyogoku, Kenichi Okada, Kazuya Masu Prediction of delay time for future LSI using on-chip transmission line interconnects. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1N. S. Nagaraj Dealing with interconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Katherine Shu-Min Li, Chung-Len Lee 0001, Yao-Wen Chang, Chauchin Su, Jwu E. Chen Multilevel full-chip routing with testability and yield enhancement. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multilevel routing, yield, testability
1Young-Su Kwon, Payam Lajevardi, Anantha P. Chandrakasan, Frank Honoré, Donald E. Troxel A 3-D FPGA wire resource prediction model validated using a 3-D placement and routing tool. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3-D FPGA, wire resource prediction
1Wim Heirman, Joni Dambre, Christof Debaes, Hugo Thienpont, Dirk Stroobandt, Jan Van Campenhout Prediction model for evaluation of reconfigurable interconnects in distributed shared-memory systems. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnection network, reconfiguration, distributed shared-memory, prediction model
1Jurjen Westra, Patrick Groeneveld Is probabilistic congestion estimation worthwhile? Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF congestion estimation, degenerate global routing, probabilistic congestion estimation, congestion, routability
1Jens Lienig Interconnect and current density stress: an introduction to electromigration-aware design. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, layout, physical design, electromigration, current density, interconnect reliability
1Guoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson 0001, Philippe M. Fauchet, Eby G. Friedman, David H. Albonesi Predictions of CMOS compatible on-chip optical interconnect. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CMOS compatible, on-chip, optical interconnect, trends
1Viet H. Nguyen, Phillip Christie The impact of interstratal interconnect density on the performance of three-dimensional integrated circuits. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interstratal interconnect, 3D-IC, system-level
1Chiu-Wing Sham, Evangeline F. Y. Young Congestion prediction in early stages. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, floorplanning, interconnect estimation
1J. Balachandran, Steven Brebels, Geert Carchon, Tomas Webers, Walter De Raedt, Bart Nauwelaers, Eric Beyne Package level interconnect options. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance metrics, package, transmission lines, global interconnects
1Ron Ho High-performance ULSI: the real limiter to interconnect scaling. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, wireless, 3D, scaling, proximity, repeaters, wires
1Louis Scheffer, Igor L. Markov (eds.) The Sixth International Workshop on System-Level Interconnect Prediction (SLIP 2004), Paris, France, February 14-15, 2004, Proceedings Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  BibTeX  RDF
1Dmitri B. Chklovskii Evolution as the blind engineer: wiring minimization in the brain. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Mandeep Bamal, Evelyn Grossar, Michele Stucchi, Karen Maex Interconnect width selection for deep submicron designs using the table lookup method. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect sizing, power-delay trade-off, wire sizing
1Beng Hwee Ong, Choon Beng Sia, Kiat Seng Yeo, Jianguo Ma, Manh Anh Do, Erping Li Investigating the frequency dependence elements of CMOS RFIC interconnects for physical modeling. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF distributed effects, frequency dependence elements, physical model, skin effects
1Reinaldo A. Bergamaschi Early and accurate analysis of SoCs: oxymoron or real? Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance, power, design space exploration, floorplanning, design analysis
1Payman Zarkesh-Ha, Ken Doniger, William Loh, Peter Bendix Prediction of interconnect adjacency distribution: derivation, validation, and applications. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect adjacency, interconnect pattern density, prediction, stochastic model, probability density function
1Ajay Joshi, Jeffrey A. Davis A 2-slot time-division multiplexing (TDM) interconnect network for gigascale integration (GSI). Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect area, wire sharing, time-division multiplexing
1Maurizio Martina, Guido Masera A statistical model for estimating the effect of process variations on crosstalk noise. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF statistical analysis, interconnects modeling
1Bipin Rajendran, Pawan Kapur, Krishna Saraswat, R. Fabian W. Pease Self-consistent power/performance/reliability analysis for copper interconnects. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Joule heating, copper interconnects, rent's rule, electromigration, duty cycle, current density
1Tao Wan, Malgorzata Chrzanowska-Jeske Prediction of interconnect net-degree distribution based on Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net-degree distribution, Rent's rule, interconnect prediction
1Ian O'Connor Optical solutions for system-level interconnect. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect technology, optical network on chip, optical interconnect
1Arvind Kumar, Sandip Tiwari Defect tolerance for nanocomputer architecture. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wire length estimation, FPGA, reliability, reconfigurability, defect tolerance, nanoelectronics, Rent's rule, nanocomputing
1Vikas Chandra, Anthony Xu, Herman Schmit A low power approach to system level pipelined interconnect design. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelined interconnect, low power, voltage scaling
1Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Investigation of performance metrics for interconnect stack architectures. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF back-end metrics, interconnect stacks, via blockage, throughput, energy, bandwidth
1Tapani Ahonen, David A. Sigüenza-Tortosa, Hong Bin, Jari Nurmi Topology optimization for application-specific networks-on-chip. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF application-specific network, platform design, network-on-chip, topology optimization
1Vishak Venkatraman, Andrew Laffely, Jinwook Jang, Hempraveen Kukkamalla, Zhi Zhu, Wayne P. Burleson NoCIC: a spice-based interconnect planning tool emphasizing aggressive on-chip interconnect circuit methods. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip, spice-based, network-on-chip, interconnects, signaling
1Nir Magen, Avinoam Kolodny, Uri C. Weiser, Nachum Shamir Interconnect-power dissipation in a microprocessor. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect power, wire spacing, routing, low-power design
1Andrew B. Kahng, Xu Xu 0001 Accurate pseudo-constructive wirelength and congestion estimation. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, sequential circuits, interconnect prediction
1Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSM busses, error-correction, crosstalk noise, bus encoding
1Pranav Anbalagan, Jeffrey A. Davis Maximum multiplicity distributions (MMD). Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF system-level prediction, wire-length distributions, simulated annealing
1Joachim Pistorius, Mike Hutton Placement rent exponent calculation methods, temporal behaviour and FPGA architecture evaluation. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA architecture, interconnect prediction, SLIP, rent
1Jason Helge Anderson, Farid N. Najm Switching activity analysis and pre-layout activity prediction for FPGAs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, power, estimation
1Eli Chiprout Early electrical wire projections and implications. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Jian Liu, Meigen Shen, Li-Rong Zheng 0001, Hannu Tenhunen System level interconnect design for network-on-chip using interconnect IPs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect IP, network on chip, interconnect, bandwidth optimization
1Dennis Sylvester, Dirk Stroobandt, Louis Scheffer, Payman Zarkesh-Ha (eds.) The 5th International Workshop on System-Level Interconnect Prediction (SLIP 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  BibTeX  RDF
1Qinghua Liu, Bo Hu 0006, Malgorzata Marek-Sadowska Wire length prediction in constraint driven placement. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF wire length prediction, clustering
1Feng Zhou, Esther Y. Cheng, Bo Yao, Chung-Kuan Cheng, Ronald L. Graham A hierarchical three-way interconnect architecture for hexagonal processors. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Y architecture, Y tree, interconnect architecture
1Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang Estimation of wirelength reduction for lambda-geometry vs. manhattan placement and routing. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-geometry routing, ?-geometry-driven placement, wirelength reduction estimation
1Joni Dambre, Dirk Stroobandt, Jan Van Campenhout Fast estimation of the partitioning rent characteristic using a recursive partitioning model. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori wire length prediction, recursive circuit partitioning, graph bipartitioning
1Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex Global interconnect trade-off for technology over memory modules to application level: case study. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Pareto-optimal energy/delay interconnect exploration, interconnect wire processing, intra/inter-memory interconnect
1Navaratnasothie Selvakkumaran, Phiroze N. Parakh, George Karypis Perimeter-degree: a priori metric for directly measuring and homogenizing interconnection complexity in multilevel placement. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection complexity, multilevel global placement, nonhomogeneity, perimeter-degree, congestion, routability
1Martijn T. Bennebroek Validation of wire length distribution models on commercial designs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Payman Zarkesh-Ha, Ken Doniger, William Loh, Peter Wright Prediction of interconnect pattern density distribution: derivation, validation, and applications. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect network prediction, interconnect pattern density, Stochastic model, probability density function
1Victor N. Kravets, Prabhakar Kudva Understanding metrics in logic synthesis for routability enhancement. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, VLSI, synthesis, decomposition, layout, congestion, structure, circuits
1Shankar Balachandran, Dinesh Bhatia A-priori wirelength and interconnect estimation based on circuit characteristics. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing demand, placement, wirelength, interconnect estimation
1Muzammil Iqbal, Ahmed Sharkawy, Usman Hameed, Phillip Christie Stochastic wire length sampling for cycle time estimation. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cycle time estimates, wire sampling, performance modeling, physical design
1Seongkyun Shin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Analytical signal integrity verification models for inductance-dominant multi-coupled VLSI interconnects. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TWA, signal integrity verification, delay, crosstalk, ringing, signal integrity, transmission line, glitch, VLSI interconnect, traveling-wave
1Raymond A. Wildman, Joshua I. Kramer, Daniel S. Weile, Phillip Christie Wire layer geometry optimization using stochastic wire sampling. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF genetic algorithms, optimization, interconnect, Rent's rule
1Murat R. Becer, David T. Blaauw, Ibrahim N. Hajj, Rajendran Panda Early probabilistic noise estimation for capacitively coupled interconnects. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF early noise analysis, congestion, global routing
1Andrey V. Mezhiba, Eby G. Friedman Scaling trends of on-chip Power distribution noise. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF technology scaling, power supply noise, power distribution
1Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex Interconnect exploration for future wire dominated technologies. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect wire processing, intra/inter-memory interconnect, pareto-optimal energy/delay interconnect exploration
1Stephen E. Krufka, Phillip Christie Terminal optimization analysis for functional block re-use. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, SoC, interconnect, Rent's rule
1Ingrid Verbauwhede, M.-C. Frank Chang Reconfigurable interconnect for next generation systems. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF architectures, reconfiguration, interconnect, design methods, power efficiency
1Sudhakar Muddu Estimation needs for future networking systems interconnect. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Hongyu Chen, Changge Qiao, Feng Zhou, Chung-Kuan Cheng Refined single trunk tree: a rectilinear steiner tree generator for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF rectilinear steiner tree algorithm, refined single trunk tree, routing estimation, VLSI CAD
1Sani R. Nassif, Onsi Fakhouri Technology trends in power-grid-induced noise. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power grid noise
1Amit Singh 0001, Malgorzata Marek-Sadowska FPGA interconnect planning. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Steven L. Teig The X architecture: not your father's diagonal wiring. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1 The Fourth IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2002), April 6-7, 2002, San Diego, California, USA, Proceedings Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  BibTeX  RDF
1Joni Dambre, Peter Verplaetse, Dirk Stroobandt, Jan Van Campenhout Getting more out of Donath's hierarchical model for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Donath's wirelength estimation technique, a priori wirelength estimation, partitioning based placement
1Tianpei Zhang, Sachin S. Sapatnekar Optimized pin assignment for lower routing congestion after floorplanning phase. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Dirk Stroobandt A priori system-level interconnect prediction: Rent's rule and wire length distribution models. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Peter Verplaetse, Joni Dambre, Dirk Stroobandt, Jan Van Campenhout On partitioning vs. placement rent properties. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF wire length distribution, partitioning, placement, estimation, Rent's rule
1Ralph H. J. M. Otten, Giuseppe S. Garcea Are wires plannable? Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Michael D. Hutton Interconnect prediction for programmable logic devices. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interconnect prodiction, wireability, architecture, programmable logic device
1André DeHon Rent's rule based switching requirements. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF switching requirements, hierarchical networks, Rent's rule
1 The Third IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2001), March 31 - April 1, 2001, DoubleTree Hotel, Rohnert Park, CA, USA, Proceedings Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  BibTeX  RDF
1Mariagrazia Graziano, Guido Masera, Gianluca Piccinini, Maurizio Zamboni Hierarchical power supply noise evaluation for early power grid design prediction. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Xiaojian Yang, Elaheh Bozorgzadeh, Majid Sarrafzadeh Wirelength estimation based on rent exponents of partitioning and placement. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ganapathy Parthasarathy, Malgorzata Marek-Sadowska, Arindam Mukherjee 0001, Amit Singh 0001 Interconnect complexity-aware FPGA placement using Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rent's exponent, interconnect, placement
1Joni Dambre, Peter Verplaetse, Dirk Stroobandt, Jan Van Campenhout On rent's rule for rectangular regions. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF layout Rent parameters, rectangular layout region, wirelength distribution, Rent's rule
1Kenneth D. Boese, Andrew B. Kahng, Stefanus Mantik On the relevance of wire load models. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Phillip Christie, José Pineda de Gyvez Pre-layout prediction of interconnect manufacturability. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF design, reliability, interconnect, theory, yield, Rent's rule, critical areas
1James D. Z. Ma, Lei He 0001 Simultaneous signal and power routing under K model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF net ordering, on-chip inductance, shield insertion, interconnect estimation, interconnect design
1José Pineda de Gyvez Yield modeling and BEOL fundamentals. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration of field-programmable gate arrays. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 3-D integrated circuits, FPGA, system-level modeling, wire-length
1Shih-Hsu Huang An effective low power design methodology based on interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Dirk Stroobandt Multi-terminal nets do change conventional wire length distribution models. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multi-terminal nets, wire length estimation, Rent's rule
1Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu 0001 Interconnect implications of growth-based structural models for VLSI circuits. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Kenneth Rose A comprehensive look at system level model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Jeffrey A. Davis, Raguraman Venkatesan, Keith A. Bowman, James D. Meindl Gigascale integration (GSI) interconnect limits and n-tier multilevel interconnect architectural solutions (discussion session). Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Phillip Christie Managing interconnect resources (tutorial). Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Payman Zarkesh-Ha, Jeffrey A. Davis, William Loh, James D. Meindl Prediction of interconnect fan-out distribution using Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fan-out distribution, interconnect network prediction, Rent's rule
1Krishna Saraswat, Shukri J. Souri, Kaustav Banerjee, Pawan Kapur Performance analysis and technology of 3-D ICs. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, interconnect, circuits, ICs, 3-D
Displaying result #201 - #300 of 312 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license