The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adder with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1965 (16) 1966-1978 (17) 1979-1985 (18) 1986-1988 (22) 1989-1990 (18) 1991-1992 (17) 1993 (18) 1994-1995 (37) 1996 (28) 1997 (35) 1998 (34) 1999 (51) 2000 (29) 2001 (59) 2002 (63) 2003 (88) 2004 (70) 2005 (112) 2006 (121) 2007 (110) 2008 (107) 2009 (60) 2010 (49) 2011 (54) 2012 (52) 2013 (56) 2014 (63) 2015 (85) 2016 (69) 2017 (83) 2018 (77) 2019 (83) 2020 (94) 2021 (86) 2022 (68) 2023 (93) 2024 (15)
Publication types (Num. hits)
article(887) inproceedings(1269) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 786 occurrences of 438 keywords

Results
Found 2158 publication records. Showing 2157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Guangping Li 0002, Dingkai Liang Adder Wavelet for Better Image Classification under Adder Neural Network. Search on Bibsonomy CSAI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Hyoju Seo, Jungwon Lee, Hyelin Seok, Yongtae Kim Design of an Accuracy Enhanced Imprecise Adder with Half Adder-based Approximation. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Subodh Kumar Singhal, Basant K. Mohanty, Sujit Kumar Patel, Gaurav Saxena Efficient Diminished-1 Modulo (2n+1) Adder Using Parallel Prefix Adder. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Ayad Dalloo, Ardalan Najafi, Alberto García Ortiz Systematic Design of an Approximate Adder: The Optimized Lower Part Constant-OR Adder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26P. Balasubramanian 0001 Asynchronous Ripple Carry Adder based on Area Optimized Early Output Dual-Bit Full Adder. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
26Morgana Macedo, Leonardo Bandeira Soares, Bianca Silveira, Cláudio Machado Diniz, Eduardo A. C. da Costa Exploring the use of parallel prefix adder topologies into approximate adder circuits. Search on Bibsonomy ICECS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26P. Balasubramanian 0001, Nikos E. Mastorakis An Asynchronous Early Output Full Adder and a Relative-Timed Ripple Carry Adder. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
26P. Balasubramanian 0001, K. Prasad Early Output Hybrid Input Encoded Asynchronous Full Adder and Relative-Timed Ripple Carry Adder. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
26Manan Mewada, Mazad Zaveri An input test pattern for characterization of a full-adder and n-bit ripple carry adder. Search on Bibsonomy ICACCI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Pao-Lung Chen A low-cost carry look-ahead adder for flying-adder frequency synthesizer. Search on Bibsonomy ICCE-TW The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Sunghyun Kim, Youngmin Kim Energy-efficient hybrid adder design by using inexact lower bits adder. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Praveena Murugesan, Thanushkodi Keppanagounder Design of Optimal Carry Skip Adder and Carry Skip BCD Adder using Reversible Logic Gates. Search on Bibsonomy J. Comput. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Lalitha Mohana Kalyani-Garimella, Sri Raga Sudha Garimella, Kevin Duda, Eric S. Fetzer New generation carry look twice-ahead adder CL2A and carry look thrice-ahead adder CL3A. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Yu-Shun Wang, Min-Han Hsieh, James Chien-Mo Li, Charlie Chung-Ping Chen An At-Speed Test Technique for High-Speed High-order Adder by a 6.4-GHz 64-bit Domino Adder Example. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Yiran Chen 0001, Hai Li 0001, Cheng-Kok Koh, Guangyu Sun 0003, Jing Li 0073, Yuan Xie 0001, Kaushik Roy 0001 Variable-Latency Adder (VL-Adder) Designs for Low Power and NBTI Tolerance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26David Bol, Ilham Hassoune, David Levacq, Denis Flandre, Jean-Didier Legat Efficient Multiple-Valued Signed-Digit Full Adder Based on NDR MOS Structures and its Application to an N-bit Current-Mode Constant-Time Adder. Search on Bibsonomy J. Multiple Valued Log. Soft Comput. The full citation details ... 2007 DBLP  BibTeX  RDF
26Giacinto Paolo Saggese, Antonio G. M. Strollo, Nicola Mazzocca, Davide De Caro Shuffled serial adder: an area-latency effective serial adder. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Xinmiao Zhang, Jiangli Zhu Efficient interpolration architecture for soft-decision Reed-Solomon decoding by applying slow-down. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Jon Alfredsson, Snorre Aunet Performance of CMOS and Floating-Gate Full-Adders Circuits at Subthreshold Power Supply. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Naofumi Homma, Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi 0001 Algorithm-Level Optimization of Multiple-Valued Arithmetic Circuits Using Counter Tree Diagrams. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sheng Sun, Carl Sechen Post-layout comparison of high performance 64b static adders in energy-delay space. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24A. Neslin Ismailoglu, Murat Askar Application of Bit-level Pipelining to Delay Insensitive Null Convention Adders. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24R. Mahesh 0001, A. Prasad Vinod 0001 An Architecture For Integrating Low Complexity and Reconfigurability for Channel filters in Software Defined Radio Receivers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jaume Abella 0001, Xavier Vera, Osman S. Unsal, Oguz Ergin, Antonio González 0001 Fuse: A Technique to Anticipate Failures due to Degradation in ALUs. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Ismo Hänninen, Jarmo Takala Robust Adders Based on Quantum-Dot Cellular Automata. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sybille Hellebrand, Christian G. Zoellin, Hans-Joachim Wunderlich, Stefan Ludwig, Torsten Coym, Bernd Straube A Refined Electrical Model for Particle Strikes and its Impact on SEU Prediction. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Guadalupe Miñana, José Ignacio Hidalgo, Oscar Garnica, Juan Lanchares, José Manuel Colmenar, Sonia López A Technique to Reduce Static and Dynamic Power of Functional Units in High-Performance Processors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Haridimos T. Vergos, Costas Efstathiou Novel Modulo 2n + 1 Multipliers. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Fei Xu, Chip-Hong Chang, Ching-Chuen Jong A new integrated approach to the design of low-complexity FIR filters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Johannes Grad, James E. Stine Low power binary addition using carry increment adders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Massimo Alioto, Gaetano Palumbo Delay uncertainty due to supply variations in static and dynamic full adders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Hayssam El-Razouk, Zine Abid A New Transistor-Redundant Voter for Defect-Tolerant Digital Circuits. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Aggelos Kiayias, Michael Korman, David Walluck An Internet Voting System Supporting User Privacy. Search on Bibsonomy ACSAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Kavallur Gopi Smitha, Hossam A. H. Fahmy, A. Prasad Vinod 0001 Redundant Adders Consume Less Energy. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24A. Prasad Vinod 0001, Edmund Ming-Kit Lai An efficient coefficient-partitioning algorithm for realizing low-complexity digital filters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Rumi Zhang, Wei Wang 0003, Konrad Walus, Graham A. Jullien Performance comparison of quantum-dot cellular automata adders. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Cor Meenderinck, Sorin Cotofana, Casper Lageweg High Radix Addition Via Conditional Charge Transport in Single Electron Tunneling Technology. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Luigi Dadda, Marco Macchetti, Jeff Owen The Design of a High Speed ASIC Unit for the Hash Function SHA-256 (384, 512). Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Y. Ibrahim, Graham A. Jullien, William C. Miller Ultra Low Noise Signed Digit Arithmetic using Cellular Neural Networks. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Michael Nicolaidis Carry checking/parity prediction adders and ALUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Haridimos T. Vergos, Dimitris Nikolos, Maciej Bellos, Costas Efstathiou Deterministic BIST for RNS Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF deterministic and pseudorandom tests, formal test sets, Built-In Self-Test, Residue Number System
24Ronald D. Blanton, John P. Hayes On the properties of the input pattern fault model. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault testing, testing digital circuits, ATPG, fault models, faults, defects
24Ernest Jamro, Kazimierz Wiatr Genetic Programming in FPGA Implementation of Addition as a Part of the Convolution. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Ajay Naini, Atul Dhablania, Warren James, Debjit Das Sarma 1-GHz HAL SPARC64 Dual Floating Point Unit with RAS Features. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Javier D. Bruguera, Tomás Lang Using the Reverse-Carry Approach for Double Datapath Floating-Point Addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Ioannis M. Thoidis, Dimitrios Soudris, Jean-Marc Fernandez, Adonios Thanailakis The circuit design of multiple-valued logic voltage-mode adders. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Christian Pacha, Uwe Auer, Christian Burwick, Peter Glösekötter, Andreas Brennemann, Werner Prost, Franz-Josef Tegude, Karl F. Goser Threshold logic circuit design of parallel adders using resonant tunneling devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Keshab K. Parhi Low-energy CSMT carry generators and binary adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Kei-Yong Khoo, Zhan Yu, Alan N. Willson Jr. Bit-level arithmetic optimization for carry-save additions. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin Power-delay characteristics of CMOS adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Miriam Leeser Reasoning about the function and timing of integrated circuits with interval temporal logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Sang-Hun Yoon, Jin-Doo Jeong, Jong-Wha Chong An area reduction method for digital filter using redundancy of SD number system. Search on Bibsonomy ICUIMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adder sharing, flattened coefficient, architecture, digital filter
23Akihiro Hirosaki, Masatomo Miura, Atsushi Matsumoto, Takahiro Hanyu Vth-Variation Compensation of Multiple-Valued Current-Mode Circuit Using TMR Devices. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF differential-pair circuit, radix-2 signed-digit adder, reliability
23S. Dabas, Ning Dong 0002, Jaijeet S. Roychowdhury Automated Extraction of Accurate Delay/Timing Macromodels of Digital Gates and Latches using Trajectory Piecewise Methods. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate delay modelling, accurate delay/timing macromodels, digital gates, trajectory-piecewise automated nonlinear macromodelling methods, mixed-signal/RF domain, SPICE-level netlists, transparent retargetability, NAND gates, NOR gates, sequential latch, latches, full adder, current-source models, XOR gates
23Taeko Matsunaga, Yusuke Matsunaga Area minimization algorithm for parallel prefix adders under bitwise delay constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arithmetic synthesis, dynamic programming, parallel prefix adder
23Bonseok Koo, Dongwook Lee, Gwonho Ryu, Taejoo Chang, Sangjin Lee 0002 High-Speed RSA Crypto-processor with Radix-4 Modular Multiplication and Chinese Remainder Theorem. Search on Bibsonomy ICISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Booth’s algorithm, RSA, Chinese Remainder Theorem, Montgomery multiplication, Carry Save Adder
23Amir Fijany, Farrokh Vatan, Mohammad M. Mojarradi, Nikzad Benny Toomarian, Benjamin J. Blalock, Kerem Akarvardar, Sorin Cristoloveanu, Pierre Gentil The G4-FET: a universal and programmable logic gate. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF G4-FET, programmable gate, universal logic gate, full adder
23Ki-seon Cho, Jong-on Park, Jin-seok Hong, Goang-Seog Choi 54x54-bit radix-4 multiplier based on modified booth algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compressor, adder, multiplier, booth encoder, wallace tree
23Hiromitsu Kimura, Takahiro Hanyu, Michitaka Kameyama Multiple-Valued Logic-in-Memory VLSI Based on Ferroelectric Capacitor Storage and Charge Addition. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Ferroelectric capacitor, multiple-valued current-mode logic circuit, arithmetic operation, full adder
23Jongsun Park 0001, Woopyo Jeong, Hunsoo Choo, Hamid Mahmoodi-Meimand, Yongtao Wang, Kaushik Roy 0001 High performance and low power FIR filter design based on sharing multiplication. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FIR filter design, computation sharing, conditional capture flip-flop, high performance and low power carry select adder
23Junhyung Um, Taewhan Kim Layout-aware synthesis of arithmetic circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout, high performance, carry-save-adder
23Shugang Wei, Kensuke Shimizu Error Detection of Arithmetic Circuits Using a Residue Checker with Signed-Digit Number System. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF residue addition, residue multiplication, signed-digit(SD) number representation, SD adder, error detection, residue number system(RNS)
23Takahiro Hanyu, Tsukasa Ike, Michitaka Kameyama Low-Power Dual-Rail Multiple-Valued Current-Mode Logic Circuit Using Multiple Input-Signal Levels. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dual-rail multiple-valued current-mode logic circuit, two supply voltages, differential-pair circuit, radix-2 signed-digit adder
23Debatosh Debnath, Tsutomu Sasao Multiple-Valued Minimization to Optimize PLAs with Output EXOR Gates. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Three-level network, programmable logic array, adder, multiple-valued logic, logic minimization
23Seiji Kajihara, Tsutomu Sasao On the Adders with Minimum Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ripple carry adder, minimum test set, test generation, stuck-at fault, carry look-ahead adders
23R. D. (Shawn) Blanton, John P. Hayes Design of a fast, easily testable ALU. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ALU, adder design, L-testable design, level-testable, carry-lookahead addition, fault diagnosis, logic testing, integrated circuit testing, automatic testing, digital arithmetic, integrated circuit design, adders, logic arrays, test patterns, area overhead, functional faults, carry logic, arithmetic-logic unit, 8 bit
23Menghui Zheng, Alexander Albicki Low power and high speed multiplication design through mixed number representations. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high speed multiplication, mixed number representations, low power multiplication, reduced switching, Sign-Magnitude, Redundant Binary adder, Booth decoder, Carry-Propagation-Free, digital arithmetic, VLSI architecture, redundant number systems, Partial Products
23William C. Athas, Nestoras Tzartzanis Energy recovery for low-power CMOS. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bootstrap circuits, low-power CMOS, energy-recovery techniques, voltage swing, transition time, MOS device parameters, adder designs, VLSI, mathematical model, bootstrapping, adders, CMOS logic circuits, CMOS logic circuits, power dissipation, integrated circuit modelling, SOI
21Myungsu Choi, Minsu Choi Scalability of Globally Asynchronous QCA (Quantum-Dot Cellular Automata) Adder Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QCA (quantum-dot cellular automata), Asynchronous architecture, Layout timing problem, Scalability, Robustness
21Swaroop Ghosh, Patrick Ndai, Kaushik Roy 0001 A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Andre Guntoro, Manfred Glesner High-performance fpga-based floating-point adder with three inputs. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Gian Carlo Cardarilli, Luca Di Nunzio, Marco Re, Alberto Nannarelli ADAPTO: full-adder based reconfigurable architecture for bit level operations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Sreehari Veeramachaneni, Kirthi M. Krishna, Prateek G. V., Subroto S., Bharat S., M. B. Srinivas A Novel Carry-Look Ahead Approach to a Unified BCD and Binary Adder/Subtractor. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Kyosun Kim, Kaijie Wu 0001, Ramesh Karri The Robust QCA Adder Designs Using Composable QCA Building Blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Lasse Kiviluoto, Patric R. J. Östergård New Uniquely Decodable Codes for the T-User Binary Adder Channel With 3<=T<=5. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Oscar Gustafsson A Difference Based Adder Graph Heuristic for Multiple Constant Multiplication Problems. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Bálint Laczay Coding for the Multiple-Access Adder Channel. Search on Bibsonomy GTIT-C The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ricardo C. Goncalves da Silva, Henri Boudinov, Luigi Carro A low power high performance CMOS voltage-mode quaternary full adder. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21los Roberto Mingoto Jr. A Quaternary Half-Adder Using Current-Mode Operation with Bipolar Transistors. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Fatemeh Kashfi, Seid Mehdi Fakhraie Implementation of a high-speed low-power 32-bit adder in 70nm technology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Yu-Ting Kuo, Tay-Jyi Lin, Yi Cho, Chih-Wei Liu, Chein-Wei Jen Programmable FIR filter with adder-based computing engine. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ali Bastani, Charles A. Zukowski A Low-Leakage High-Speed Monotonic Static CMOS 64b Adder in a Dual Gate Oxide 65-nm CMOS Technology. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Yi Wang 0016, Douglas L. Maskell, Jussipekka Leiwo, Thambipillai Srikanthan Unified Signed-Digit Number Adder for RSA and ECC Public-key Cryptosystems. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Liming Xiu, Zhihong You A "Flying-Adder" frequency synthesis architecture of reducing VCO stages. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21M. Mattas, Patric R. J. Östergård A New Bound for the Zero-Error Capacity Region of the Two-User Binary Adder Channel. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Rui Tang, Fengming Zhang, Yong-Bin Kim QCA-based nano circuits design [adder design example]. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Shahnam Khabiri, Maitham Shams An MCML four-bit ripple-carry adder design in 1 GHz range. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Dilip P. Vasudevan, Parag K. Lala A Technique for Modular Design of Self-Checking Carry-Select Adder. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yuanzhong Wan, Maitham Shams Optimization of Mixed Logic Circuits with Application to a 64-Bit Static Adder. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Dave A. Berque, Ian Serlin, Atanas Vlahov A brief water excursion: introducing computer organization students to a water driven 1-bit half-adder. Search on Bibsonomy ACM SIGCSE Bull. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer organization pedagogy, water-based computing
21Turgay Temel, Avni Morgül, Nizamettin Aydin A Novel Signed Higher-Radix Full-Adder Algorithm and Implementation with Current-Mode Multi-Valued Logic Circuits. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Valdemar Cardoso da Rocha Jr., Maria de Lourdes M. G. Alcoforado Trellis Code Construction for the 2-User Binary Adder Channel. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Hafiz Md. Hasan Babu, Md. Rafiqul Islam 0001, Syed Mostahed Ali Chowdhury, Ahsan Raja Chowdhury Synthesis of Full-Adder Circuit Using Reversible Logic. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo A high-speed energy-efficient 64-bit reconfigurable binary adder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Costas Efstathiou, Haridimos T. Vergos, Dimitris Nikolos Modulo 2n±1 Adder Design Using Select-Prefix Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modulo 2n± 1 adders, select-prefix adders, computer arithmetic, VLSI architectures
21Kuan Zhou, Michael Chu, Chao You, Jong-Ru Guo, Channakeshav, John Mayega, John F. McDonald 0001, Russell P. Kraft, Bryan S. Goda A four-bit full adder implemented on fast SiGe FPGAs with novel power control scheme. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Hwang-Cherng Chow, I-Chyn Wey A 3.3 V 1 GHz low-latency pipelined Booth multiplier with new Manchester carry-pass adder. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Whitney J. Townsend, Jacob A. Abraham, Parag K. Lala On-Line Error Detecting Constant Delay Adder. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Bhushan A. Shinkre, James E. Stine A pipelined clock-delayed domino carry-lookahead adder. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos Diminished-One Modulo 2n+1 Adder Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modulo $big. 2^{rm n}+1bigr.$ addition, carry look-ahead addition, diminished-one number representation, VLSI adders, parallel-prefix adders
Displaying result #201 - #300 of 2157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license