The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cycle-accurate (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2000 (26) 2001-2002 (33) 2003 (32) 2004 (57) 2005 (68) 2006 (60) 2007 (60) 2008 (54) 2009 (35) 2010 (17) 2011-2012 (17) 2013-2014 (15) 2015-2017 (27) 2018-2019 (18) 2020-2023 (17) 2024 (1)
Publication types (Num. hits)
article(93) inproceedings(440) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 299 keywords

Results
Found 537 publication records. Showing 537 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane Fast exploration of bus-based communication architectures at the CCATB abstraction. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance exploration, System-on-chip, transaction-level modeling, communication architecture, on-chip bus
14Alex Bobrek, Joshua J. Pieper, Jeffrey E. Nelson, JoAnn M. Paul, Donald E. Thomas Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Shu-Hsuan Chou, Chi-Neng Wen, Yan-Ling Liu, Tien-Fu Chen VeriC: A semi-hardware description language to bridge the gap between ESL design and RTL models. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13George Hadjiyiannis, Srinivas Devadas Techniques for accurate performance evaluation in architecture exploration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Sumit Ahuja, Deepak Mathaikutty, Gaurav Singh 0006, Joe Stetzer, Sandeep K. Shukla, Ajit Dingankar Power estimation methodology for a high-level synthesis framework. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Morteza Fayyazi, Laurent Kirsch Efficient simulation of oscillatory combinational loops. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF oscillatory combinational loops, emulation, functional verification
11Colin J. Ihrig, Rami G. Melhem, Alex K. Jones Automated modeling and emulation of interconnect designs for many-core chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, interconnection network, emulation, multi-core, many-core
11Farhad Mehdipour, Hamid Noori, Bahman Javadi, Hiroaki Honda, Koji Inoue, Kazuaki J. Murakami A combined analytical and simulation-based model for performance evaluation of a reconfigurable instruction set processor. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Trevor Meyerowitz, Alberto L. Sangiovanni-Vincentelli, Mirko Sauermann, Dominik Langen Source-Level Timing Annotation and Simulation for a Heterogeneous Multiprocessor. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jürgen Schnerr, Oliver Bringmann 0001, Alexander Viehl, Wolfgang Rosenstiel High-performance timing simulation of embedded software. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software timing, virtual prototypes, simulation acceleration
11Srinivasan Murali, Luca Benini, Giovanni De Micheli An Application-Specific Design Methodology for On-Chip Crossbar Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Tejas Karkhanis, James E. Smith 0001 Automated design of application specific superscalar processors: an analytical approach. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance model, analytical model, design optimization, energy model, application specific processors
11Pablo García Del Valle, David Atienza, Ivan Magan, Javier Garcia Flores, Esther Andres Perez, Jose Manuel Mendias, Luca Benini, Giovanni De Micheli A Complete Multi-Processor System-on-Chip FPGA-Based Emulation Framework. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Emmanuel Viaud, François Pêcheux, Alain Greiner An efficient TLM/T modeling and simulation environment based on conservative parallel discrete event principles. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11David Atienza, Pablo García Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thermal studies, FPGA, emulation, MPSoC
11Lucanus J. Simonson, Lei He 0001 Micro-architecture Performance Estimation by Formula. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11C. Scott Ananian, Krste Asanovic, Bradley C. Kuszmaul, Charles E. Leiserson, Sean Lie Unbounded Transactional Memory. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Tao Li 0006, Lizy Kurian John Run-time modeling and estimation of operating system power consumption. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, operating system, power estimation
11Spiridon Nikolaidis 0001, Nikolaos Kavvadias, Periklis Neofotistos, K. Kosmatopoulos, Theodore Laopoulos, Labros Bisdounis Instrumentation Set-up for Instruction Level Power Modeling. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Allan Snavely, Laura Carrington, Nicole Wolter, Jesús Labarta, Rosa M. Badia, Avi Purkayastha A framework for performance modeling and prediction. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Eric Cheung, Harry Hsieh, Felice Balarin Fast and accurate performance simulation of embedded software for MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Melhem Tawk, Khaled Z. Ibrahim, Smaïl Niar Multi-granularity sampling for simulating concurrent heterogeneous applications. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation sampling, multiprocessor system-on-chip, simulation acceleration
10Mariko Sakamoto, Larry Brisson, Akira Katsuno, Aiichiro Inoue, Yasunori Kimura Reverse Tracer: A Software Tool for Generating Realistic Performance Test Programs. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multi-user Interactive Workload, System Level Performance, Instruction Trace, Software Tool, Logic Simulator, Hardware Design, Performance Test
9Xi E. Chen, Tor M. Aamodt A first-order fine-grained multithreaded throughput model. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Yonghyun Hwang, Samar Abdi, Daniel Gajski Cycle-approximate Retargetable Performance Estimation at the Transaction Level. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Chung-Fu Kao, Ing-Jer Huang, Chi-Hung Lin An Embedded Multi-resolution AMBA Trace Analyzer for Microprocessor-based SoC Integration. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Wonbok Lee, Kimish Patel, Massoud Pedram B2Sim: : a fast micro-architecture simulator based on basic block characterization. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF basic block, micro-architecture simulation, program behavior
9Vidyasagar Nookala, David J. Lilja, Sachin S. Sapatnekar Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplanning, microarchitecture, transient analysis
9Ben L. Titzer, Daniel K. Lee, Jens Palsberg Avrora: scalable sensor network simulation with precise timing. Search on Bibsonomy IPSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Nicolas Genko, David Atienza, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida, Francky Catthoor A Complete Network-On-Chip Emulation Framework. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Hongkyu Kim, D. Scott Wills, Linda M. Wills Technology-based Architectural Analysis of Operand Bypass Networks for Efficient Operand Transport. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Sibin Mohan, Frank Mueller 0001, David B. Whalley, Christopher A. Healy Timing Analysis for Sensor Network Nodes of the Atmega Processor Family. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Nicolas Genko, David Atienza, Giovanni De Micheli, Luca Benini, Jose Manuel Mendias, Román Hermida, Francky Catthoor A novel approach for network on chip emulation. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jia Yu 0008, Jun Yang 0002, Shaojie Chen, Yan Luo, Laxmi N. Bhuyan Enhancing Network Processor Simulation Speed with Statistical Input Sampling. Search on Bibsonomy HiPEAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Uwe Kastens, Dinh Khoi Le, Adrian Slowik, Michael Thies Feedback driven instruction-set extension. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF simulator generation, encryption, network processor, codesign, instruction-set extensions, compiler generation
9Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye, David Duarte Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, optimizations, energy models, Energy estimation
9Nachiketh R. Potlapally, Michael S. Hsiao, Anand Raghunathan, Ganesh Lakshminarayana, Srimat T. Chakradhar Accurate Power Macro-modeling Techniques for Complex RTL Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Georgios Keramidas, Vasileios Spiliopoulos, Stefanos Kaxiras Interval-based models for run-time DVFS orchestration in superscalar processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance and power modeling, superscalar out-of-order processors, dynamic voltage and frequency scaling
8Zhong-Yi Jin, Rajesh K. Gupta 0001 Improving the speed and scalability of distributed simulations of sensor networks. Search on Bibsonomy IPSN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Hyunwoo Joe, Jonghyuk Lee, Duk-Kyun Woo, Pyeong-Soo Mah, Hyungshin Kim Demo abstract: A high-fidelity sensor network simulator using accurate CC2420 model. Search on Bibsonomy IPSN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Zhong-Yi Jin, Rajesh Gupta 0001 LazySync: A New Synchronization Scheme for Distributed Simulation of Sensor Networks. Search on Bibsonomy DCOSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel A Flexible Framework for Communication Evaluation in SoC Design. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnect simulator, Network on chip, Trace based simulation, Multiprocessor simulator
8Tarek M. Taha, D. Scott Wills An Instruction Throughput Model of Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Modeling techniques, Pipeline processors, Modeling of computer architecture
8Hun Jung, Miao Ju, Hao Che, Zhijun Wang 0001 A Fast Performance Analysis Tool for Multicore, Multithreaded Communication Processors. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Abbas Eslami Kiasari, Dara Rahmati, Hamid Sarbazi-Azad, Shaahin Hessabi A Markovian Performance Model for Networks-on-Chip. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Bin Li 0008, Lu Peng 0001, Balachandran Ramadass Efficient mart-aided modeling for microarchitecture design space exploration and performance prediction. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MART-aided models, performance prediction, design space exploration
8Youngjin Cho, Younghyun Kim 0001, Sangyoung Park, Naehyuck Chang System-level power estimation using an on-chip bus performance monitoring unit. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Zhonglei Wang, Antonio Sánchez, Andreas Herkersdorf SciSim: a software performance estimation framework using source code instrumentation. Search on Bibsonomy WOSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF debugging information, software performance estimation, source code instrumentation, microarchitecture
8Ioana Burcea, Stephen Somogyi, Andreas Moshovos, Babak Falsafi Predictor virtualization. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF predictor virtualization, caches, metadata, memory hierarchy
8Lerong Cheng, Fei Li 0003, Yan Lin 0001, Phoebe Wong, Lei He 0001 Device and Architecture Cooptimization for FPGA Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Antoine Fraboulet, Tanguy Risset Master Interface for On-chip Hardware Accelerator Burst Communications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC simulation, system on chip, high level synthesis, interface generation
8Zoltán Herczeg, Ákos Kiss 0001, Daniel Schmidt 0001, Norbert Wehn, Tibor Gyimóthy XEEMU: An Improved XScale Power Simulator. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Philippe Marquet, Simon Duquennoy, Sébastien Le Beux, Samy Meftali, Jean-Luc Dekeyser Massively parallel processing on a chip. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MasPar, mppSoC, SoC, SIMD, system-on-a-chip, massively parallelism
8Chan-Hao Chang, Diana Marculescu Design and Analysis of a Low Power VLIW DSP Core. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Rabie Ben Atitallah, Smaïl Niar, Alain Greiner, Samy Meftali, Jean-Luc Dekeyser Estimating Energy Consumption for an MPSoC Architectural Exploration. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Gi-Ho Park, Sung Woo Chung, Han-Jong Kim, Jung-Bin Im, Jung-Wook Park, Shin-Dug Kim, Sung-Bae Park Practice and Experience of an Embedded Processor Core Modeling. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Ram Srinivasan, Jeanine E. Cook, Olaf M. Lubeck Ultra-Fast CPU Performance Prediction: Extending the Monte Carlo Approach. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Saurabh Sharma, Jesse G. Beu, Thomas M. Conte Spectral prefetcher: An effective mechanism for L2 cache prefetching. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF absolute and differential domain, adaptive, memory, Prefetch, autocorrelation, frequency, L2 cache
8Joshua L. Kihm, Daniel A. Connors Statistical Simulation of Multithreaded Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Dhruba Chandra, Fei Guo, Seongbeom Kim, Yan Solihin Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Eric Mercer, Michael D. Jones Model Checking Machine Code with the GNU Debugger. Search on Bibsonomy SPIN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Mirko Loghi, Martin Letis, Luca Benini, Massimo Poncino Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip, cache coherence
8Lerong Cheng, Phoebe Wong, Fei Li 0003, Yan Lin 0001, Lei He 0001 Device and architecture co-optimization for FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Psim, Ptrace, powergating, FPGA, low power
8Andrea Bona, Vittorio Zaccaria, Roberto Zafalon Low Effort, High Accuracy Network-on-Chip Power Macro Modeling. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Network-on-Chip power analysis, communication based low power design, system-level energy optimization
8Lesley Shannon, Paul Chow Using reconfigurability to achieve real-time profiling for hardware/software codesign. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, profiling, performance measurement, embedded processor, hardware/software codesign, soft processor
8Mirko Loghi, Federico Angiolini, Davide Bertozzi, Luca Benini, Roberto Zafalon Analyzing On-Chip Communication in a MPSoC Environment. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Ulrich Neffe, Klaus Rothbart, Christian Steger, Reinhold Weiss, Edgar Rieger, Andreas Mühlberger Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jiangjiang Liu 0002, Krishnan Sundaresan, Nihar R. Mahapatra Dynamic Address Compression Schemes: A Performance, Energy, and Cost Study. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Antoine Fraboulet, Tanguy Risset Efficient On-Chip Communications for Data-Flow IPs. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SoC simulation, system on chip, High level synthesis, interface generation
8Yue Luo, Lizy Kurian John, Lieven Eeckhout Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Hojun Shim, Yongsoo Joo, Yongseok Choi, Hyung Gyu Lee, Naehyuck Chang Low-energy off-chip SDRAM memory systems for embedded applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Low power, memory system, SDRAM
8Laura Carrington, Allan Snavely, Xiaofeng Gao 0003, Nicole Wolter A Performance Prediction Framework for Scientific Applications. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Matteo Dall'Osso, Gianluca Biccari, Luca Giovannini, Davide Bertozzi, Luca Benini xpipes: a Latency Insensitive Parameterized Network-on-chip Architecture For Multi-Processor SoCs. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Tajana Simunic, Luca Benini, Giovanni De Micheli Energy-efficient design of battery-powered embedded systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8An-Chow Lai, Cem Fide, Babak Falsafi Dead-block prediction & dead-block correlating prefetchers. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8David M. Brooks, Margaret Martonosi, John-David Wellman, Pradip Bose Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Jonathan Combs, Candice Bechem Combs, John Paul Shen Mispredicted Path Cache Effects. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Kyle Rupnow, Jacob Adriaens, Wenyin Fu, Katherine Compton Accurately evaluating application performance in simulated hybrid multi-tasking systems. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance evaluation, hybrid systems, heterogeneous systems, full system simulation, multi-tasking systems
6Graham Schelle, Jamison D. Collins, Ethan Schuchman, Perry H. Wang, Xiang Zou, Gautham N. Chinya, Ralf Plate, Thorsten Mattner, Franz Olbrich, Per Hammarlund, Ronak Singhal, Jim Brayton, Sebastian Steibl, Hong Wang 0003 Intel nehalem processor core made FPGA synthesizable. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intel nehalem, synthesizable core, fpga, emulator
6Aparna Mandke Dani, Keshavan Varadarajan, Bharadwaj Amrutur, Y. N. Srikant Accelerating multi-core simulators. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chip multi-core, multi-core platform, timed petri-nets, instruction set simulator, cache simulator
6Dave Christie, Jae-Woong Chung, Stephan Diestelhorst, Michael Hohmuth, Martin Pohlack, Christof Fetzer, Martin Nowack, Torvald Riegel, Pascal Felber, Patrick Marlier, Etienne Rivière Evaluation of AMD's advanced synchronization facility within a complete transactional memory stack. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF transactional memory
6Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John The virtual write queue: coordinating DRAM and last-level cache policies. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache
6Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic RAMP gold: an FPGA-based architecture simulator for multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, FPGA, multiprocessors
6Efraim Rotem, Avi Mendelson, Ran Ginosar, Uri C. Weiser Multiple clock and voltage domains for chip multi processors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock domains, voltage domain, power management, DVFS, chip multi processor
6Joseph Gebis, Leonid Oliker, John Shalf, Samuel Williams 0001, Katherine A. Yelick Improving Memory Subsystem Performance Using ViVA: Virtual Vector Architecture. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Samar Abdi, Gunar Schirner, Ines Viskic, Hansu Cho, Yonghyun Hwang, Lochi Yu, Daniel Gajski Hardware-dependent software synthesis for many-core embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Karthik Ganesan 0006, Deepak Panwar, Lizy K. John Generation, Validation and Analysis of SPEC CPU2006 Simulation Points Based on Branch, Memory and TLB Characteristics. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Pierre Michaud Online compression of cache-filtered address traces. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Dam Sunwoo, Joonsoo Kim, Derek Chiou QUICK: A flexible full-system functional model. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Nan Yuan, Yongbin Zhou, Guangming Tan, Junchao Zhang, Dongrui Fan High Performance Matrix Multiplication on Many Cores. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Muhammad Aqeel Wahlah, Kees Goossens Modeling reconfiguration in a FPGA with a hardwired network on chip. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Terrence S. T. Mak, Peter Y. K. Cheung, Wayne Luk, Kai-Pui Lam A DP-network for optimal dynamic routing in network-on-chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal and sub-optimal routing, dynamic programming, network-on-chip, adaptive routing
6Anders Sejer Tranberg-Hansen, Jan Madsen A compositional modelling framework for exploring MPSoC systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MPSoC, system level design, performance estimation
6Yanqin Yang, Meng Wang 0005, Zili Shao, Minyi Guo Dynamic Scratch-Pad Memory Management with Data Pipelining for Embedded Systems. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6James R. Green, Hanan Mahmoud, Michel Dumontier Modeling tryptic digestion on the Cell BE processor. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Edgar A. León, Rolf Riesen, Arthur B. Maccabe, Patrick G. Bridges Instruction-level simulation of a cluster at scale. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Susmit Biswas, Diana Franklin, Alan Savage, Ryan Dixon, Timothy Sherwood, Frederic T. Chong Multi-execution: multicore caching for data-similar executions. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data similar execution, multicore cache design, cmp
6Stephen Somogyi, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi Spatio-temporal memory streaming. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, spatial correlation, temporal correlation
6Martino Ruggiero, Alessio Guerri, Davide Bertozzi, Michela Milano, Luca Benini A Fast and Accurate Technique for Mapping Parallel Applications on Stream-Oriented MPSoC Platforms with Communication Awareness. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling, Integer Programming, Constraint Programming, MPSoCs, allocation
6Jongsun Kim, Bo-Cheng Lai, Mau-Chung Frank Chang, Ingrid Verbauwhede A Cost-Effective Latency-Aware Memory Bus for Symmetric Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 537 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license