The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delay with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1960 (16) 1961-1964 (16) 1965-1966 (16) 1967-1968 (18) 1969-1970 (20) 1971-1973 (22) 1974 (16) 1975-1976 (30) 1977 (18) 1978 (17) 1979 (19) 1980 (15) 1981 (26) 1982 (36) 1983 (40) 1984 (47) 1985 (56) 1986 (76) 1987 (75) 1988 (98) 1989 (105) 1990 (167) 1991 (180) 1992 (209) 1993 (224) 1994 (330) 1995 (441) 1996 (404) 1997 (482) 1998 (504) 1999 (672) 2000 (767) 2001 (1016) 2002 (1191) 2003 (1454) 2004 (1977) 2005 (2360) 2006 (2902) 2007 (3020) 2008 (3172) 2009 (2327) 2010 (1586) 2011 (1582) 2012 (1659) 2013 (1782) 2014 (1748) 2015 (1762) 2016 (1821) 2017 (1817) 2018 (1873) 2019 (2021) 2020 (1910) 2021 (1775) 2022 (1790) 2023 (1865) 2024 (427)
Publication types (Num. hits)
article(23373) book(12) data(5) incollection(72) inproceedings(26312) phdthesis(221) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18369 occurrences of 5291 keywords

Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Xiaoming Yu, Yinghua Min Design of delay-verifiable combinational logic by adding extra inputs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay-verifiable combinational logic, delay testability, synthesis, combinational circuits, hardware overhead, temporal behavior
28Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin Partial scan delay fault testing of asynchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF robust path delay fault testing, asynchronous circuits, delay faults, sequential testing
28Xiaohua Jia, Niki Pissinou, Kia Makki A distributed algorithm of delay bounded multicast routing for multimedia applications. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay bounded multicast routing, source node, multicast destinations, distributed heuristic algorithm, sub-optimal network cost, delay bound constraint, multicast membership changes, simulations, delays, multimedia applications, routing trees
28Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch An optimized BIST test pattern generator for delay testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST test pattern generator, robust delay fault coverage, single input change test sequence, compatible inputs, optimization, delays, built-in self-test, fault detection, delay testing, test length, area overhead, circuit under test
28H. Bekker, E. J. Dijkstra Delay-Insensitive Synchronization on a Message-Passing Architecture with an Open Collector Bus. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF delay-insensitive synchronization, open collector bus, high latency, constraint algorithm, SHAKE, Constraint Molecular Dynamics simulation, ring architecture, delay insensitive algorithm, performance evaluation, performance, parallel algorithms, parallel algorithms, parallel architectures, message passing, multiprocessor interconnection networks, multiprocessor interconnection networks, synchronisation, digital simulation, physics computing, system buses, communication time, message passing architecture
28Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Segment delay faults: a new fault model. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF segment delay faults, delay defect, distributed defect, rising transitions, falling transitions, transition tests, nonrobust tests, VLSI, fault diagnosis, logic testing, delays, integrated circuit testing, fault model, automatic testing, circuit analysis computing, robust tests, integrated circuit modelling, production testing, spot defect, manufacturing defects
27Seungkweon Jeong, Jaehyun Park, Wook Hyun Kwon, Kang G. Shin Evaluation of the communication latency over real-time channel in HARTS. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF HARTS, end-to-end communication latency, hexagonal architecture, intra-node delay, inter-node delay, point-to-point real-time channel, message traffic, arbitration algorithm, VMEbus-based HARTS node, real-time systems, computer architecture, real-time scheduling, computer simulation, communication latency, implementational models, real-time channel
27Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer Interconnect power and delay optimization by dynamic programming in gridded design rules. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gridded design rules, interconnect sizing and spacing, power-delay optimization, dynamic programming, interconnect optimization
27Bo Yao, Irith Pomeranz, Sudhakar M. Reddy Deterministic broadside test generation for transition path delay faults. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF broadside test, deterministic test generation, path delay fault, transition fault
27Tianwei Xu, Lingyun Yuan, Ben Niu 0002 Data Fusion Algorithm Based on Event-Driven and Minimum Delay Aggregation Path in Wireless Sensor Network. Search on Bibsonomy ICIC (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Minimum Delay Aggregation Path, Wireless Sensor Network, Data Fusion, Event Driven
27Eado Meron, Ofer Shayevitz, Meir Feder, Ram Zamir A Lower Bound on the Redundancy of Arithmetic-Type Delay Constrained Coding. Search on Bibsonomy DCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Complexity, Delay, Redundancy, Source coding, Precision, Arithmetic coding
27Sinem Coleri Ergen, Pravin Varaiya Energy efficient routing with delay guarantee for sensor networks. Search on Bibsonomy Wirel. Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sensor networks, Routing, Energy efficiency, Linear programming, Delay guarantee
27Yong Liu 0013 On the minimum delay peer-to-peer video streaming: how realtime can it be? Search on Bibsonomy ACM Multimedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF delay bound, realtime, peer-to-peer streaming
27Beatriz Soret, M. Carmen Aguayo-Torres, José T. Entrambasaguas Maximum delay-constrained source rate over a wireless channel. Search on Bibsonomy VALUETOOLS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, delay, wireless systems, effective bandwidth
27Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling
27Haining Liu, Magda El Zarki An adaptive delay and synchronization control scheme for Wi-Fi based audio/video conferencing. Search on Bibsonomy Wirel. Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AV conferencing, Adaptive delay, Wi-Fi, Synchronization control
27Bo Zhang 0073, T. S. Eugene Ng, Animesh Nandi, Rudolf H. Riedi, Peter Druschel, Guohui Wang Measurement based analysis, modeling, and synthesis of the internet delay space. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF internet delay space, simulation, modeling, distributed system, measurement, synthesis, analysis
27Wei Sun, Kang G. Shin End-to-end delay bounds for traffic aggregates under guaranteed-rate scheduling algorithms. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF aggregate scheduling, end-to-end (e2e) delay bounds, token bucket model, traffic aggregation
27Chul Park, Dong Han, Kee Rim Packet Delay Analysis of Symmetric Gated Polling System for DBA Scheme in an EPON. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF gated polling, DBA, packet delay, EPON
27Hiran Tennakoon, Carl Sechen Efficient and accurate gate sizing with piecewise convex delay models. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF piecewise convex, optimization, Lagrangian relaxation, gate sizing, delay modeling
27Soheil Ghiasi, Ani Nahapetian, Majid Sarrafzadeh An optimal algorithm for minimizing run-time reconfiguration delay. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instantiation ordering, reconfiguration delay, Reconfigurable computing
27Natarajan Meghanathan, Andras Farago Looking at protocol efficiency from a new angle: stability - delay analysis. Search on Bibsonomy Mobility Management & Wireless Access Protocols The full citation details ... 2004 DBLP  DOI  BibTeX  RDF path stability, stability - delay tradeoff, mobile ad hoc networks
27Li Yan, Bin Qiu, Lichang Che A Delay-Based End-to-End Congestion Avoidance Scheme for Multimedia Networks. Search on Bibsonomy PCM (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Delay-based congestion control, DECA, Congestion control
27Ki-Wook Kim, Seong-Ook Jung, Taewhan Kim, Sung-Mo Kang Minimum delay optimization for domino circuits - a coupling-aware approach. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Logic synthesis, coupling, domino logic, delay minimization
27Hyunho Yang, Kiseon Kim Delay Guaranteed Fair Queueing(DGFQ) in Multimedia Packet Networks. Search on Bibsonomy MMNS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Quality of Service (QoS), Multimedia Network, Fair Queueing, Bounded Delay
27Francesco Lo Presti, Nick G. Duffield, Joseph Horowitz, Donald F. Towsley Multicast-based inference of network-internal delay distributions. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF estimation theory, multicast tree, network tomography, end-to-end measurements, queueing delay
27Qiong Li, David L. Mills Jitter-based delay-boundary prediction of wide-area networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Internet, modeling, Algorithm, transport protocols, fractals, stochastic approximation, delay estimation
27C. P. Ravikumar, Nitin Agrawal 0003, Parul Agarwal Hierarchical Delay Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay test generation, path selection, hierarchical testing
27Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer High Quality Robust Tests for Path Delay Faults. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test generation, fault modeling, delay test, robust test
27Hirendu Vaishnav, Massoud Pedram Delay optimal partitioning targeting low power VLSI circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF clustering, VLSI, partitioning, logic CAD, circuit CAD, integrated logic circuits, power dissipation, VLSI circuits, logic partitioning, delay optimal
27Huthaifa Al-Omari, Francis G. Wolff, Christos A. Papachristou, David R. McIntyre Avoiding Delay Jitter in Cyber-Physical Systems Using One Way Delay Variations Model. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Shubin Wang, Yanyun Wang 0005, Lingkao Zhang Time-Delay Dependent State Feedback Fuzzy-Predictive Control of Time-Delay T-S Fuzzy Model. Search on Bibsonomy FSKD (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ana Antunes, Fernando Morgado Dias, José M. N. Vieira, Alexandre Manuel Mota Delay Compensator: an Approach to Reduce the Variable Sampling to Actuation Delay Effect in Distributed Real-time Control Systems. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Octavian Petre, Hans G. Kerkhoff On-Chip Tap-Delay Measurements for a Digital Delay-Line Used in High-Speed Inter-Chip Data Communications. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Pi-Rong Sheu, Shan-Tai Chen A Fast and Efficient Heuristic Algorithm for the Delay- and Delay Variation Bound Multicast Tree Problem. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Ravikishore Gandikota, David T. Blaauw, Dennis Sylvester Modeling crosstalk in statistical static timing analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay noise, crosstalk, SSTA
27Sumit Ghosh In Search of the Origin of VHDL's Delta Delays. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Delta delay, simulation accuracy, BCL, Conlan, continuous systems, simulation, timing, discrete event simulation, VHDL, hardware, hardware description language, HDLs
27Vijay Arya, Nick G. Duffield, Darryl Veitch Temporal Delay Tomography. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Xianning Li, Xianwei Zhou Bounded-Delay, Probability-Based Routing in Intermittently Connected Mobile Ad Hoc Networks. Search on Bibsonomy ICDCS Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Kartik Gopalan, Lan Huang, Gang Peng, Tzi-cker Chiueh, Yow-Jian Lin Statistical admission control using delay distribution measurements. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Junmou Zhang, Simon R. Cooper, Andrew R. LaPietra, Michael W. Mattern, Robert M. Guidash, Eby G. Friedman A low power thyristor-based CMOS programmable delay element. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Mohammad Maymandi-Nejad, Manoj Sachdev A digitally programmable delay element: design and analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Ken-ichi Okada, Kento Yamaoka, Hidetoshi Onodera Statistical modeling of gate-delay variation with consideration of intra-gate variability. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Benjamin Watson 0001, Neff Walker, Peter Woytiuk, William Ribarsky Maintaining Usability During 3D Placement Despite Delay. Search on Bibsonomy VR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Jinhwan Jeon, Daehong Kim, Dongwan Shin, Kiyoung Choi High-level synthesis under multi-cycle interconnect delay. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Jacob Savir, Srinivas Patil Broad-side delay test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Abbas El Gamal, Navid Hassanpour, James P. Mammen Relay Networks With Delays. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw Statistical interconnect metrics for physical-design optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Subhrajit Bhattacharya, Sujit Dey, Franc Brglez Provably correct high-level timing analysis without path sensitization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Wei Peng 0005, Baokang Zhao, Wanrong Yu, Chunqing Wu, Xiaorong Yan Ferry Route Design with Delay Bounds in Delay-Tolerant Networks. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF message ferry, route design, Delay-Tolerant Networks, delay bound
26Weihua Tian, Yan Zhao, Dongsheng Yang Delay-Dependent Non-fragile H Infinity Control for Fuzzy Descriptor Time-Delay Systems. Search on Bibsonomy FSKD (6) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fuzzy descriptor time-delay systems, non-fragile control, delay-dependent criteria, control, linear matrix inequalities (LMIs)
26Muhammad Nummer, Manoj Sachdev A DFT Technique for Testing High-Speed Circuits with Arbitrarily Slow Testers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance testing, controlled-delay flip-flop, built-in self test, delay-fault testing, design for delay testability
26William Perrizo, Zhili Zhang, Stephen Krebsbach Strategies for implementing distributed query algorithms over high-speed, bandwidth-on-demand, wide area networks. Search on Bibsonomy COMPSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high-speed bandwidth-on-demand wide area networks, distributed query processing algorithms, latency delay reduction, multicast issue handling, transmission delay reduction, local processing delay reduction, distributed join algorithm, time cost, near optimal performance, distributed databases, analytical model, distributed database systems
25Quanxin Cheng, Haibo Bao, Jinde Cao A Delay Fractioning Approach to Global Synchronization of Complex Networks with Distributed Delays and Stochastic Disturbances. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Global asymptotic synchronization, Delay fractioning, Stochastic disturbance, Distributed delay, Lyapunov functional
25Minjin Zhang, Huawei Li 0001, Xiaowei Li 0001 Multiple Coupling Effects Oriented Path Delay Test Generation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk, delay test, path delay fault
25S. Dabas, Ning Dong 0002, Jaijeet S. Roychowdhury Automated Extraction of Accurate Delay/Timing Macromodels of Digital Gates and Latches using Trajectory Piecewise Methods. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate delay modelling, accurate delay/timing macromodels, digital gates, trajectory-piecewise automated nonlinear macromodelling methods, mixed-signal/RF domain, SPICE-level netlists, transparent retargetability, NAND gates, NOR gates, sequential latch, latches, full adder, current-source models, XOR gates
25Seiji Kajihara, Masayasu Fukunaga, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato Path delay test compaction with process variation tolerance. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, delay testing, path delay fault, test compaction
25Hans G. Kerkhoff, Han Speek, M. Shashani, Manoj Sachdev Design for Delay Testability in High-Speed Digital ICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, delay-fault testing, design for delay testability, high-speed testing
25Th. Haniotakis, Dimitris Nikolos, Y. Tsiatouhas C-Testable One-Dimensional ILAs with Respect to Path Delay Faults: Theory and Applications. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF robustly delay fault testable circuits, path delay faults, C-testability, Iterative-logic-arrays
25Sreejit Chakravarty On the capability of delay tests to detect bridges and opens. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF defective IC, faulty dynamic logic behavior, transition tests, simulation, integrated circuit testing, delay tests, bridges, opens, at-speed testing, path delay tests
25Shyue-Kung Lu, Cheng-Wen Wu, Ruei-Zong Hwang Cell delay fault testing for iterative logic arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cell delay fault, path delay fault, C-testable, iterative logic array, pseudoexhaustive testing
25Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing under Elmore delay. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bounded-skew, pathlength delay, VLSI, global routing, Elmore delay, zero-skew, zero-skew, clock routing, routing trees
25Yang Dai, Yunze Cai, Xiaoming Xu Global Synchronization of Generalized Complex Networks with Mixed Coupling Delays. Search on Bibsonomy Complex (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Retarded Delay, Neutral Delay, Synchronization, Complex Networks, Linear Matrix Inequalities (LMI)
25Zygmunt J. Haas, Tara Small A new networking model for biological applications of ad hoc sensor networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF animal monitoring, biological data acquisition, capacity-delay tradeoff, stochastic routing, store-carry-forward, wireless infostation model, sensor networks, delay-tolerant networks, data dissemination, flooding, epidemic modelling, SWIM, epidemic routing, sparse networks
25Younchan Jung, J. William Atwood Switching between Fixed and Call-Adaptive Playout: A Per-Call Playout Algorithm. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Per-call basis playout, Per-talkspurt adaptive playout, VoIP phone, Lateness loss, Delay measurements, Gatekeeper, Playout delay
25Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip inductance, shielding effect, propagation delay, interconnect modeling, gate delay
25Jason Cong, Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RATS trees, Steiner routings, bounded-radius Steiner trees, higher-order RLC model, incremental moment computation algorithm, interconnect layout optimization, nonmonotone signal response, required-arrival-time Steiner trees, resistance-inductance-capacitance circuits, routing area, routing cost, routing topologies, shortest-path Steiner trees, signal delay, signal settling time, voltage overshoot, waveform optimization, waveform quality evaluation, wire-sizing optimization, circuit optimisation, topology optimization, delay optimization
25Ching-Chih Han, Kang G. Shin Message transmission with timing constraints in ring networks . Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF message transmission problem, unidirectional slotted ring networks, evacuation mode, continuation mode, system initialization, message scheduling policies, message deadlines, maximum delay, least-slack-time-first scheduling policy, optimal scheduling policy, farthest-destination-first policy, message passing, performance measures, timing constraints, average delay, operation modes
25Nen-Fu Huang, Chi-An Su, Chieh-Wen Cheng, Chuan-Pwu Wang, Jer-Han Fang, Yi-Jang Wu The Design and Implementation of a Multicast Real-Time Multimedia Protocol. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multicast real-time multimedia protocol, performance contract, multicast function, interconnected ATM switches, QoS guaranteed protocol, quality of service, delay, admission control, transport protocols, group communications, communication networks, bandwidth, multimedia communications, multimedia applications, packet scheduling, routers, high-speed network, resource reservation, data transmission, telecommunication channels, FDDI networks, delay jitter, jitter control
25Wanshi Chen, Urbashi Mitra, Michael J. Neely Energy-efficient scheduling with individual packet delay constraints over a fading channel. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Minimum energy transmission, Individual delay constraint, Optimal scheduler, Fading channels
25Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria An interconnect-aware delay model for dynamic voltage scaling in NM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage scaling (dvs), interconnects, delay model
25Linfang Dong, Yantai Shu, Haiming Chen, Maode Ma Packet delay analysis on IEEE 802.11 DCF under finite load traffic in multi-hop ad hoc networks. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF finite load, multi-hop, packet delay, IEEE 802.11 DCF
25Yu Chen 0006, Jia Chen, Yang Yang 0001 Multi-hop Delay Performance in Wireless Mesh Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF effective capacity, multi-hop delay performance, wireless mesh network
25Dan Beamish, Shabana Bhatti, Jianhong Wu, Zhujun Jing Performance limitations from delay in human and mechanical motor control. Search on Bibsonomy Biol. Cybern. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fitts’ law, Psychomotor delay, Motor performance, Neurodynamics, Motor control
25Srikanth Jagabathula, Devavrat Shah Optimal delay scheduling in networks with arbitrary constraints. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay, throughput, scheduling algorithm
25Mahmut Yilmaz, Krishnendu Chakrabarty, Mohammad Tehranipoor Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Small-delay defects, pattern grading, pattern selection, ATPG
25Jing Wang 0006, Duncan M. Hank Walker, Xiang Lu, Ananta K. Majhi, Bram Kruseman, Guido Gronthoud, Luis Elvira Villagra, Paul J. A. M. van de Wiel, Stefan Eichenberger Modeling Power Supply Noise in Delay Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power supply noise model, filling, delay test, compaction
25Klangjai Sithitavorn, Campbell Wilson, Bin Qiu Towards Multicast Delay Analysis for Ad hoc Wireless Networks. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Delay metric, Performance Analysis, Wireless Multicast, IEEE 802.11 MAC
25Young Shick Ro, Hee-Jun Kang, Young Soo Suh, Ki-Su Jong The Mobile Robot Teleoperation to Consider the Time-Delay of Wireless Network. Search on Bibsonomy ICIC (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Wireless Network, Image Compression, Teleoperating, Time-Delay
25Young-June Choi, Saewoong Bahk Delay-Sensitive Packet Scheduling for a Wireless Access Link. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF marginal utility, simulation, delay, Communication networks, packet scheduling
25Narender Hanchate, Nagarajan Ranganathan Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, gate sizing, transmission lines, crosstalk noise, interconnect models, interconnect delay
25Xiaojun Lin 0001, Gaurav Sharma 0002, Ravi R. Mazumdar, Ness B. Shroff Degenerate delay-capacity tradeoffs in ad-hoc networks with Brownian mobility. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF achievable capacity, ad-hoc networks, mobility, performance analysis, delay, throughput
25Naoaki Ohkubo, Kimiyoshi Usami Delay modeling and static timing analysis for MTCMOS circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interpolation., selective-MT, delay, leakage power, static timing analysis, MTCMOS
25Noriyuki Ito, Akira Kanuma, Daisuke Maruyama, Hitoshi Yamanaka, Tsuyoshi Mochizuki, Osamu Sugawara, Chihiro Endoh, Masahiro Yanagida, Takeshi Kono, Yutaka Isoda, Kazunobu Adachi, Takahisa Hiraide, Shigeru Nagasawa, Yaroku Sugiyama, Eizo Ninoi Delay defect screening for a 2.16GHz SPARC64 microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay defect, microprocessor, screening, at-speed
25King-Shan Lui, Klara Nahrstedt, Shigang Chen Routing with topology aggregation in delay-bandwidth sensitive networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF delay-bandwidth sensitive networks, QoS routing, hierarchical routing, topology aggregation
25Lin Chen, ZhiYun Yang, ZhengQuan Xu A Degree-Delay-Constrained Genetic Algorithm for Multicast Routing Tree. Search on Bibsonomy CIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Genetic Algorithm, Quality of service, Routing, Multicast, delay
25Shih-Chieh Chang, Cheng-Tao Hsieh, Kai-Chiang Wu Re-synthesis for delay variation tolerance. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF tolerance, delay variation
25David Tung Chong Wong, Jon W. Mark, Kee Chaing Chua, B. Kannan, Yong Huat Chew Delay performance of data traffic in a cellular wireless ATM network. Search on Bibsonomy WOWMOM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF self-similar data traffic, MMPP video traffic, approximate delay analysis, heavy-tailed Pareto-distributed ON/OFF data traffic, prioritized TDMA/DR medium access control, performance evaluation, computer simulation, wireless ATM, voice traffic
25Nurul I. Sarkar, Krzysztof Pawlikowski A Delay-throughput Performance Improvement to the pi-Persistent Protocol. Search on Bibsonomy ISCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Poisson arrival stream, Fairness, Throughput, Packet delay
25Minseok Song 0001, Naehyuck Chang, Heonshik Shin A new queue discipline for various delay and jitter requirements in real-time packet-switched networks. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fairness requirements, real-time packet-switched networks, data packet scheduling, service priority, jitter bound, Rate-controlled Frame-based Weighted Round Robin, frame-based WRR server, constant buffer space, scheduling, asynchronous transfer mode, delays, queueing theory, packet switching, bandwidth, ATM networks, rate controller, jitter, telecommunication traffic, end-to-end delays, delay bound
25Stavros Christodoulakis, Fenia Zioga Database Design Principles for Placement of Delay-Sensitive Data on Disks. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF delay-sensitive objects, parallel retrieval, video, audio, Database design, performance optimization, continuous media, multimedia servers, data striping
25Jeong Geun Kim, Marwan Krunz Fluid Analysis of Delay Performance for QoS Support in Wireless Networks. Search on Bibsonomy ICNP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fluid analysis, QoS, Wireless networks, delay distribution
25Antonis M. Paschalis, Dimitris Gizopoulos, Nikolaos Gaitanis Concurrent Delay Testing in Totally Self-Checking Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF concurrent on-line detection, duplication systems, path delay faults, totally self-checking circuits, error indicators
25V. Chandramouli, Karem A. Sakallah, Ayman I. Kayssi Signal Delay in Coupled, Distributed RC Lines in the Presence of Temporal Proximity. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Coupled interconnects, Distributed lines, Proximity effects, Interconnect delay, Moment matching
25David Wessels, Jon C. Muzio The dangers of simplistic delay models. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF critical paths, Delay models, path sensitization, circuit delays
25Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch An advanced diagnostic method for delay faults in combinational faulty circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simulation, diagnosis, delay fault, critical path tracing
25Guangqiu Chen, Hidetoshi Onodera, Keikichi Tamaru An iterative gate sizing approach with accurate delay evaluation. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay evaluation, linear program, iteration, gate sizing
25Zaifu Zhang, Robert D. McLeod, Gregory E. Bridges Statistical estimation of delay fault detectabilities and fault grading. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay fault detectabilities, fault grading, STAFAN, transition observabilities, fanout stems, fanout free region, gate line transition controllabilities, VLSI, fault diagnosis, logic testing, logic testing, statistical analysis, fault coverage, benchmark circuits, statistical estimation
24Mingyu Chen 0002, Xingzhe Fan, Manohar N. Murthi, T. Dilusha Wickramarathna, Kamal Premaratne Normalized queueing delay: congestion control jointly utilizing delay and marking. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF window flow control, congestion control, TCP, TCP/IP, transport protocols, high-speed networks, explicit congestion notification (ECN)
24Parameswaran Ramanathan, Aarti Singh Delay-Differentiated Gossiping in Delay Tolerant Networks. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 49999 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license