The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delays with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1969 (17) 1970-1973 (16) 1974-1976 (21) 1977-1981 (17) 1982-1983 (17) 1984 (15) 1985 (18) 1986 (15) 1987 (21) 1988 (34) 1989 (37) 1990 (56) 1991 (38) 1992 (48) 1993 (66) 1994 (77) 1995 (150) 1996 (100) 1997 (149) 1998 (138) 1999 (180) 2000 (218) 2001 (226) 2002 (282) 2003 (335) 2004 (559) 2005 (612) 2006 (695) 2007 (718) 2008 (814) 2009 (665) 2010 (417) 2011 (389) 2012 (461) 2013 (504) 2014 (496) 2015 (517) 2016 (522) 2017 (531) 2018 (618) 2019 (609) 2020 (646) 2021 (605) 2022 (600) 2023 (588) 2024 (165)
Publication types (Num. hits)
article(8212) book(2) data(5) incollection(20) inproceedings(5756) phdthesis(27)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5912 occurrences of 2755 keywords

Results
Found 14022 publication records. Showing 14022 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Hani Jamjoom, Padmanabhan Pillai, Kang G. Shin Resynchronization and controllability of bursty service requests. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF TCP/IP, traffic control, TCP performance, traffic characterization
18Aaron P. Hurst, Philip Chong, Andreas Kuehlmann Physical placement driven by sequential timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Vojislav B. Misic, Jelena V. Misic Adaptive inter-piconet scheduling in small scatternets. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Sanjay Shakkottai, R. Srikant 0001, Sean P. Meyn Bounds on the throughput of congestion controllers in the presence of feedback delay. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF TCP, fairness, TCP/IP, delay-differential equations, internet congestion control
18Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, sequential circuits, interconnect prediction
18Hwa-Chun Lin, Pei-Shin Liu Dynamic Control Frames in Reservation-Based Packet Scheduling for Single-Hop WDM Networks. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Dynamic control frames, reservation-based scheduling algorithm, single-hop WDM networks
18Rohan Angrish, Supratik Chakraborty Probabilistic Timing Analysis of Asynchronous Systems with Moments of Delay. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Carl R. Williams, Peraset Chompuming Manufacturing 2: a simulation study of robotic welding system with parallel and serial processes in the metal fabrication industry. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Tetsuya Kobayashi, Luonan Chen, Kazuyuki Aihara Design of Genetic Switches with Only Positive Feedback Loops. Search on Bibsonomy CSB The full citation details ... 2002 DBLP  DOI  BibTeX  RDF monotone dynamical system, stability, delay, switch, genetic network
18Andreas Thiel, Christian W. Eurich, Helmut Schwegler Stabilized Dynamics in Physiological and Neural Systems Despite Strongly Delayed Feedback. Search on Bibsonomy ICANN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng Pattern generation for delay testing and dynamic timing analysisconsidering power-supply noise effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Guenter Stenz, Bernhard M. Riess, Bernhard Rohfleisch, Frank M. Johannes Performance optimization by interacting netlist transformations andplacement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Vishwani D. Agrawal, Michael L. Bushnell, Ganapathy Parthasarathy, Rajesh Ramadoss Digital Circuit Design for Minimum Transient Energy and a Linear Programming Method. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Kumar N. Lalgudi, Marios C. Papaefthymiou Retiming edge-triggered circuits under general delay models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Al Davis, Mark R. Swanson, Michael A. Parker Efficient Communication Mechanisms for Cluster Based Parallel Computing. Search on Bibsonomy CANPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18John G. Waclawsky, Ashok K. Agrawala Dynamic Queue Behavior in Networks with Window Protocols. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18David C. Ku, Giovanni De Micheli Relative Scheduling Under Timing Constraints. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17W. P. M. H. Heemels, Nathan van de Wouw, Rob H. Gielen, M. C. F. Donkers, Laurentiu Hetel, Sorin Olaru, Mircea Lazar, Jamal Daafouz, Silviu-Iulian Niculescu Comparison of overapproximation methods for stability analysis of networked control systems. Search on Bibsonomy HSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF lmi, polytopic systems, stability, communication delays, networked control systems
17Birong Zhao, Feiqi Deng Adaptive Exponential Synchronization of Stochastic Delay Neural Networks with Reaction-Diffusion. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Stochastic neutral networks, Exponential synchronization, Delays
17José Niño-Mora Computing an index policy for bandits with switching penalties. Search on Bibsonomy VALUETOOLS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bandits, restless, switching delays, Markov decision processes, switching costs, index policies
17Borja Peleato, Milica Stojanovic A MAC protocol for ad-hoc underwater acoustic sensor networks. Search on Bibsonomy Underwater Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hand-shake, long propagation delays, tolerance to interference, ad-hoc networks, medium access control (MAC), underwater acoustic networks
17Akshai K. Aggarwal, Robert D. Kent An Adaptive Generalized Scheduler for Grid Applications. Search on Bibsonomy HPCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF STG jobs, link bandwidth, communication channel delays, task interdependencies, scheduling, grid computing, Metacomputing, adaptive scheduling
17Richard J. Duro, José Santos Reyes Modelling Temporal Series Through Synaptic Delay-based Neural Networks. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Chaotic series prediction, Dynamic reconstruction, Embedding theorem, Synaptic delays, Time series prediction
17Maciej Drozdowski, Lukasz Wielebski Efficiency of Divisible Load Processing. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Performance of parallel and distributed systems, clusters, grid, communication delays, divisible load theory
17Roxana Wales, John O'Neill, Zara Mirmalek Ethnography, Customers, and Negotiated Interactions at the Airport. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF customer as participant, ethnographic methods, air travel, airline operations reliability, airline delays, HCC design, human-centered computing
17Ian F. Akyildiz, Giacomo Morabito, Sergio Palazzo TCP-Peach: a new congestion control scheme for satellite IP networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF TCP protocols, high bit error rates, long propagation delays, congestion control, satellite networks
17Xiaolin Li 0001, Bharadwaj Veeravalli, Chi Chung Ko Divisible Load Scheduling on a Hypercube Cluster with Finite-Size Buffers and Granularity Constraints. Search on Bibsonomy CCGRID The full citation details ... 2001 DBLP  DOI  BibTeX  RDF finite-size buffer, hypercube, granularity, communication delays, Divisible load theory
17Baek-Young Choi, Sejun Song, Nigel Birch, Jim Huang Probabilistic approach to switched Ethernet for real-time control applications. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF periodic control, switched Ethernet, real time control applications, ATM switching technology, probability based admission control algorithm, real time capability, control applications, queuing possibilities, periodic control system application, switched Ethernet admission control, real-time systems, asynchronous transfer mode, probability, local area networks, queueing theory, telecommunication congestion control, probabilistic approach, queuing delays, computerised control
17Victor C. S. Lee, Kwok-Wa Lam, Sang Hyuk Son Real-time transaction processing with partial validation at mobile clients. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF real-time transaction processing, partial validation, conflicting mobile transactions, data conflict detection, two-stage validation mechanism, processing resources, communication resources, transaction execution schedules, unnecessary transaction aborts, avoidable delay removal, asymmetric communication, schedule, real-time systems, mobile computing, data integrity, delays, concurrency control, wireless communication, transaction processing, client-server systems, deadlines, access protocols, mobile computing environments, mobile clients, concurrency control protocols
17Kenneth L. Calvert, Jim Griffioen, Amit Sehgal, Su Wen Building a Programmable Multiplexing Service Using Concast. Search on Bibsonomy ICNP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF programmable multiplexing service, concast, scalable inverse-multicast network service, multiple sources, packet multiplexing service, reduced packet processing overhead, rate-sharing, queue-manipulation, delays, TCP, transport protocols, queueing theory, packet switching, digital simulation, simulation results, multicast communication, network services
17Abderrahim Benslimane Real Time Multicast in Wireless Networks. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF real time multicast, real-time multimedia application, handoff duration, unknown locations, known locations, mobile computing, wireless networks, protocols, synchronisation, multimedia communication, multimedia stream, multicast communication, mobile multimedia, mobile terminals, packet radio networks, cellular radio, cellular radio, synchronization protocol, bounded delays
17JunSeong Kim, David J. Lilja Exploiting multiple heterogeneous networks to reduce communication costs in parallel programs. Search on Bibsonomy Heterogeneous Computing Workshop The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiple heterogeneous networks, parallel application program, HiPPI, multiple parallel networks, SGI multiprocessors, distributed system, parallel programs, delays, multiplexing, communication costs, virtual network, Fibre Channel
17Gary S. Tyson, Todd M. Austin Improving the Accuracy and Performance of Memory Communication Through Renaming. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data fetching, data value speculation, heap segment, instruction loading, memory access latency, memory communication, memory references, memory renaming, memory segments, processor pipeline, register access techniques, stores, performance, delays, accuracy, instruction-level parallelism, execution time, storage allocation, data dependence speculation, address calculation
17Supratik Chakraborty, David L. Dill, Kun-Yung Chang, Kenneth Y. Yun Timing Analysis of Extended Burst-Mode Circuits. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Extended burst-mode circuits, 3D design style, global timing constraints, uncertain component delays, thirteen-valued signal algebra, polynomial-time
17Supratik Chakraborty, David L. Dill More Accurate Polynomial-Time Min-Max Timing Simulation. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Uncertain component delays, min-max timing simulation, thirteen-valued signal algebra, polynomial-time algorithm
17Charles E. Molnar, Ian W. Jones, William S. Coates, Jon K. Lexau A FIFO Ring Performance Experiment. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FIFO ring performance experiment, high-speed FIFO circuit, asynchronous FIFO, clocked shift register, pulse-like protocol, two-phase clocked design, MOSIS, internal FIFO stages, 3.3 V, 1.67 to 4.8 V, 0.6 micron, pipeline, SPICE, data path, hSpice, circuit delays
17Paul F. Stelling, Vojin G. Oklobdzija Implementing Multiply-Accumulate Operation in Multiplication Time. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiply-accumulate operation, multiplication time, optimal delays, instruction time, optimal multiply-accumulate circuit, RISC CPU, partial product reduction tree, final adder, digital signal processing, power savings, multiplying circuits, circuit design, VLSI circuits, parallel multiplier, processor performance, video applications, graphics applications, clock speed
17Carl K. Chang, Yi-Te Tseng, Ugo A. Buy Compiling process algebraic specifications into timed automata. Search on Bibsonomy COMPSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Language of Timed Processes, process algebraic specification compilation, process-algebra-based specification language, process descriptions, periodic constraints, sporadic constraints, periodic behavior modelling, finite representation, delays, computations, timed automata, translation, algebraic specification, real-time applications, deadlines, execution time, automatic verification, timeouts, timing requirement, LTP, timed transition systems
17Chuck Monahan, Forrest Brewer Scheduling and binding bounds for RT-level symbolic execution. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ALAP bounds, as-late-as-possible bounds, binding bounds, efficient operand mapping bound, exact scheduling problem, minimal schedule length, operand recomputation, point-to-point delays, pre-defined data path, register transfer level symbolic execution, time improvement factors, transitive memory units, processor scheduling
17Nectarios Koziris, George K. Papakonstantinou, Panayotis Tsanakas Mapping nested loops onto distributed memory multiprocessors. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Chain grouping, low complexity method, index space partitioning, intercommunication requirements, distributed mesh connected architectures, minimum time displacement, discrete groups, optimal makespan, uniform chain, dependence vector, optimal hyperplane scheduling, intragroup computations, partitioned groups, processor utilisation, optimal hyperplane time schedule, distributed memory systems, communication delays, nested loops, distributed memory multiprocessors, loop iterations, space mapping, hyperplane method
17Andreas Ermedahl, Hans Hansson, Mikael Sjödin Response-time guarantees in ATM networks. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF response time guarantees, traditional real time CPU Response Time Analysis, hard real time traffic, strict timing requirements, Calculus for Network Delays, traffic scenarios, observed worst case behaviors, CND, realistic traffic scenarios, asynchronous transfer mode, Asynchronous Transfer Mode, admission control, ATM networks, Weighted Fair Queuing, WFQ
17Mercedes Peón, Roberto R. Osorio, Javier D. Bruguera A VLSI implementation of an arithmetic coder for image compression. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF arithmetic coder, multilevel alphabet, cumulative probabilities, interval range, interval left point, module delays, design speed, chip area, operating frequency, 39 MHz, image compression, updating, VLSI implementation, arithmetic codes, redundant arithmetic
17Nikolaos Gaitanis, Dimitris Gizopoulos, Antonis M. Paschalis, Panagiotis Kostarakis An asynchronous totally self-checking two-rail code error indicator. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous TSC error indicator, totally self-checking error indicator, concurrent detection, two-rail code error indicator, CMOS implementation, VLSI, logic testing, delays, integrated circuit testing, error detection, automatic testing, asynchronous circuits, CMOS logic circuits, delay faults
17Tapan J. Chakraborty, Vishwani D. Agrawal Design for high-speed testability of stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay
17Raffaele Perego 0001, G. De Petris Minimizing network contention for mapping tasks onto massively parallel computers. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF network contention minimizing, compile-time determination, suboptimal solutions, K-ary n-cube family, processor networks, deterministic routing algorithm, wormhole flow control strategy, mapping heuristic, program-derived graphs, performance evaluation, parallel algorithms, parallel programming, parallel programs, concurrency control, multiprocessor interconnection networks, program compilers, network traffic, communication delays, massively parallel computers, message latencies, total completion time, mapping problem, task mapping
17Yongbing Zhang, Katsuya Hakozaki, Hisao Kameda, Kentaro Shimizu A performance comparison of adaptive and static load balancing in heterogeneous distributed systems. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF heterogeneous distributed system model, processing capacities, job transfer, system state-information exchange, system loads, static policies, simulation, performance evaluation, resource allocation, virtual machines, delays, distributed processing, local area networks, local area networks, performance comparison, overheads, adaptive policies, heterogeneous distributed systems, adaptive load balancing, static load balancing
17Nitin K. Singhvi, Kanad Ghose The Mcube: a symmetrical cube based network with twisted links. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Mcube network, symmetrical cube based network, twisted links, symmetrical interconnection network, twist-based networks, uniform distance distribution, skewed traffic patterns, link complexity, node complexity, binary strings, structural symmetry, internode distance, low message delays, heavy traffic loads, performance evaluation, parallel algorithms, parallel algorithms, parallel architectures, hypercubes, multiprocessor interconnection networks, communication complexity, network routing, network congestion, routing overhead
17Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu A comprehensive estimation technique for high-level synthesis. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HLS benchmarks, RT level components, RTL datapaths, estimation technique, delays, high level synthesis, high-level synthesis, timing, design space exploration, granularity, hardware description languages, data flow graphs, registers, system buses, timing model, buses, behavioral description, layout area
17Aiguo Lu, Erik L. Dagless, Jonathan M. Saul DART: delay and routability driven technology mapping for LUT based FPGAs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF DART, delay driven technology mapping, LUT based FPGAs, two-phased approach, routability directed delay-optimal mapping, stochastic routability analysis, delay-optimal mapping, field programmable gate arrays, delays, logic design, programmable logic arrays, table lookup, minimisation of switching nets
17Dimitrios Karayiannis, Spyros Tragoudas Uniform area timing-driven circuit implementation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit module, cell library, input-output paths, overall area, timing-driven circuit implementation, computational complexity, heuristics, CAD, delays, timing, sequential circuits, sequential circuits, NP-hard, directed graphs, combinational circuits, combinational circuits, logic CAD, polynomial time algorithm, directed acyclic graphs, circuit CAD, cellular arrays, propagation delay
17Imtiaz P. Shaik, Michael L. Bushnell Circuit design for low overhead delay-fault BIST using constrained quadratic 0-1 programming . Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF low overhead delay-fault BIST, constrained quadratic 0-1 programming, built-in self testing model, weighted signed graph balancing problem, VLSI, logic testing, delays, built-in self test, integrated circuit testing, logic design, automatic testing, integrated circuit design, quadratic programming, circuit design, digital integrated circuits, hazards and race conditions
17Jörg Liebeherr, Dallas E. Wrege A Versatile Packet Multiplexer for Quality-of-Service Networks. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiplexing equipment, versatile packet multiplexer, packet multiplexing technique, rotating-priority-queues, static-priority multiplexer, earliest-deadline-first multiplexer, delays, packet switching, multiplexing, delay bounds, low complexity, quality-of-service networks
17Dinesh Bhatia, James Haralambides Resource requirements for field programmable interconnection chips. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field programmable interconnection chips, n-permutation graph, network property, routing delays, programmable switches, routing paths, specific I/O permutations, user-configured interconnection, VLSI, VLSI, graph theory, network routing, permutation networks, integrated circuit interconnections, Benes network
17Dick Jensen Adventures in Embedded Development. Search on Bibsonomy IEEE Softw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF embedded systems development, software tool selection, off-the-shelf real-time operating system, unplanned critical paths, development delays, timing demands, software engineering, real-time systems, software tools, costs, operating systems (computers), project planning, protocol stacks, commercial products
17Hwa-Chun Lin, Cauligi S. Raghavendra A Dynamic Load-Balancing Policy With a Central Job Dispatcher (LBC). Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF dynamic load-balancing policy, central job dispatcher, LBC policy, single-queue multiserver queueing system, average job response time, near-minimum average job response time, high-speed communication subnets, nonnegligible job transfer delays, heterogeneous load, distributed systems, distributed processing, queueing theory, operating systems (computers), communication delay, information exchanges
17Anant Agarwal Limits on Interconnection Network Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF buffered networks, interconnection network performance, wiredelays, two-dimensionalnetwork, switch delays, four-dimensional networks, networkbandwidth requirements, multiprocessor interconnection networks, latency, direct networks, network contention, performanceevaluation, closed-form expression, packet size, communication locality
17In Kyung Ryu, Alexander Thomasian Performance Analysis of Dynamic Locking with the No-Waiting Policy. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF dynamic locking, no-waiting policy, blocking delays, cyclic restarts, throughput characteristic, read-only query, time-stamp ordering method, performance evaluation, performance analysis, concurrency control, concurrency control, transaction processing, deadlocks, transaction processing system, update transactions
17Shyh-Kwei Chen, Wei-Tek Tsai, Bhavani M. Thuraisingham Recovery Point Selection on a Reverse Binary Tree Task Model. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF performance computation procedure, computation time minimization, recovery point selection, reverse binary tree task model, arbitrary reverse tree model, optimal placement algorithm, closed form formula, recovery point placement problem, computational complexity, fault tolerant computing, multiprocessing systems, multiprocessor systems, trees (mathematics), communication delays, closed form solution, uniprocessor systems
17Vicki H. Allan, Robert A. Mueller Compaction with General Timing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF general synchronous timing, microcode generation systems, branch delays, volatile registers, microoperations, multiple microinstructions, clocked microarchitectures, compilers, synchronisation, program compilers, microprogramming, target architecture, data-dependency graphs
17Jeffrey P. Buzen, Subhash C. Agrawal State space transformations in queueing network modeling. Search on Bibsonomy SIGMETRICS The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Aggregate server method, Serialization delays, Shadow CPU algorithm, State space transformations, Performance evaluation, Approximation, Metamodeling, Queueing networks, Product form, Preemptive priority, Operational analysis
17Justin S. J. Wong, N. Pete Sedcole, Peter Y. K. Cheung Self-Measurement of Combinatorial Circuit Delays in FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Testing, configuration, delay measurement
17Emmanuel Nuno, Luis Basañez, Miguel Prada Asymptotic stability of teleoperators with variable time-delays. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yun Shang, Maoyin Chen Less Restrictive Synchronization Criteria in Complex Networks with Coupling Delays. Search on Bibsonomy Complex (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF matrix measure, synchronization, complex networks
17Xiaofeng Wang 0007, Michael D. Lemmon Event-Triggering in Distributed Networked Systems with Data Dropouts and Delays. Search on Bibsonomy HSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Xiaobo Li, Haijun Jiang Global Exponential Stability of Impulsive Fuzzy Cellular Neural Networks with Delays and Reaction-Diffusion Terms. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Lyapunov functional, Cellular neural networks, Reaction-diffusion, Exponential stability, Equilibrium point
17Haijun Jiang, Bianjing Guo, Zhidong Teng Exponential Stability of High-Order Fuzzy Cellular Neural Networks with Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nonsingular M-matrix, Lyapunov functional, Cellular neural networks, Exponential stability, Equilibrium point
17Jian Xu 0005, Kwok Wai Chung, Ju Hong Ge, Yu Huang Delay-Induced Hopf Bifurcation and Periodic Solution in a BAM Network with Two Delays. Search on Bibsonomy ICANN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Artificial neural network, delayed differential equation, periodic solution, bidirectional associative memory, Hopf bifurcation
17Yonggui Kao 0001, Cunchen Gao Global exponential stability analysis for cellular neural networks with variable coefficients and delays. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 46G05, 37F99
17Rene L. Cruz, Saleh Al-Harthi A service-curve framework for packet scheduling with switch configuration delays. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ballast packet, convoy, convoy buffer, scheduling, quality of service (QoS), MEMS, network calculus, optical packet switching
17Zhiwei Gao 0001, Tim Breikin, Hong Wang 0001 Reliable Observer-Based Control Against Sensor Failures for Systems With Time Delays in Both State and Input. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Huaguang Zhang, Zhanshan Wang, Derong Liu 0001 Global Asymptotic Stability of Recurrent Neural Networks With Multiple Time-Varying Delays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hanyong Shao Delay-Dependent Stability for Recurrent Neural Networks With Time-Varying Delays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yi Shen 0002, Jun Wang 0002 An Improved Algebraic Criterion for Global Exponential Stability of Recurrent Neural Networks With Time-Varying Delays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Fang-Xiang Wu Stability and oscillation of genetic regulatory networks with time delays. Search on Bibsonomy BIBE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jacek P. Dmochowski, Jacob Benesty, Sofiène Affes Fast steered response power source localization using inverse mapping of relative delays. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Alexandre Seuret, Dimos V. Dimarogonas, Karl Henrik Johansson Consensus under communication delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Qing-Kui Li, Georgi M. Dimirovski, Jun Zhao 0002 A solution to the tracking control problem for switched linear systems with time-varying delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hitay Özbay, Catherine Bonnet, Jean Clairambault Stability analysis of systems with distributed delays and application to hematopoietic cell maturation dynamics. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ahmadreza Momeni, Amir G. Aghdam A necessary and sufficient condition for stabilization of decentralized time-delay systems with commensurate delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Wu-Hua Chen, Wei Xing Zheng 0001 Stability analysis of dynamical neural networks with uncertain delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jorge Finke, Brandon J. Moore, Kevin M. Passino Stable emergent agent distributions under sensing and travel delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jing Wang 0073, Nicola Elia Mean square stability of consensus over fading networks with nonhomogeneous communication delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shengle Fang, Minghui Jiang 0002, Wenfang Fu Global Exponential Stability and Periodicity of CNNs with Time-Varying Discrete and Distributed Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Distributed delay, Cellular neural network, Exponential stability, Periodic solution
17Wu-Hua Chen, Wei Xing Zheng 0001 Stability analysis for impulsive neural networks with variable delays. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chun-Feng Guo, Guang-Rong Ji, Lin-Shan Wang A New Criterion of Global Robust Stability for the Static Neural Network with Time-Delays. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hai-Rong Cui, Chun-Feng Guo, Yang-Fan Wang, Lin-Shan Wang A New Criterion of Global Exponential Robust Stability of Periodic Solution for the Static Neural Network with Time-Delays. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Zuoyou Yin, Huaguang Zhang Robust Tolerant Control for a Class of Nonlinear Systems with Uncertainties and Input Time Delays Based on T-S Fuzzy Model. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yi Wang, Wai-Shing Luk, Xuan Zeng 0001, Jun Tao 0001, Changhao Yan, Jiarong Tong, Wei Cai 0003, Jia Ni Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-Gaussian, process variations, yield, clock skew scheduling
17Yi-You Hou, Teh-Lu Liao, Jun-Juh Yan Stability Analysis of Takagi-Sugeno Fuzzy Cellular Neural Networks With Time-Varying Delays. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Meiqin Liu Unified stabilizing controller synthesis approach for discrete-time intelligent systems with time delays by dynamic output feedback. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF standard neural network model (SNNM), output feedback control, Takagi and Sugeno (T-S) fuzzy model, intelligent system, linear matrix inequality (LMI), time delay, chaotic neural network, discrete-time, asymptotic stability
17Arpan Banerjee, Viktor K. Jirsa How do neural connectivity and time delays influence bimanual coordination? Search on Bibsonomy Biol. Cybern. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yiguang Liu, Zhisheng You, Liping Cao On the Almost Periodic Solution of Cellular Neural Networks With Distributed Delays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jing Fu 0003, Olof Hagsand, Gunnar Karlsson Queuing Behavior and Packet Delays in Network Processor Systems. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF queueing behavior, network processor, router
17Jiye Zhang, Keyue Zhang, Dianbo Ren Global Exponential Stability of Fuzzy Cohen-Grossberg Neural Networks with Variable Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Qiankun Song, Jianting Zhou Novel LMI Criteria for Stability of Neural Networks with Distributed Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jasen Markovski, Erik P. de Vink Real-Time Process Algebra with Stochastic Delays. Search on Bibsonomy ACSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jiqing Qiu, Zhifeng Gao, Jinhui Zhang New Stochastic Stability Criteria for Uncertain Neural Networks with Discrete and Distributed Delays. Search on Bibsonomy ICIC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Robust asymptotic stability, Norm-bounded uncertainties, Stochastic neural networks
17Anhua Wan, Weihua Mao, Hong Qiao, Bo Zhang 0006 Global Asymptotic Stability of Cohen-Grossberg Neural Networks with Multiple Discrete Delays. Search on Bibsonomy ICIC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jing Lin, Jiye Zhang Global Exponential Synchronization of a Class of Chaotic Neural Networks with Time-Varying Delays. Search on Bibsonomy ICIC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Exponential synchronization, chaos, Lyapunov function
17Tadeusz Kaczorek Cone-Realizations of Discrete-Time Systems with Delays. Search on Bibsonomy ICANNGA (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 14022 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license