The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for designs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958 (39) 1959-1969 (19) 1970-1972 (28) 1973-1974 (31) 1975 (15) 1976 (29) 1977 (22) 1978 (25) 1979 (17) 1980 (29) 1981 (28) 1982 (29) 1983 (25) 1984 (36) 1985 (54) 1986 (68) 1987 (61) 1988 (93) 1989 (112) 1990 (132) 1991 (121) 1992 (130) 1993 (147) 1994 (176) 1995 (249) 1996 (247) 1997 (288) 1998 (357) 1999 (473) 2000 (522) 2001 (529) 2002 (678) 2003 (888) 2004 (1083) 2005 (1168) 2006 (1308) 2007 (1462) 2008 (1477) 2009 (957) 2010 (494) 2011 (326) 2012 (366) 2013 (398) 2014 (396) 2015 (355) 2016 (370) 2017 (420) 2018 (378) 2019 (427) 2020 (455) 2021 (466) 2022 (457) 2023 (468) 2024 (120)
Publication types (Num. hits)
article(7465) book(17) incollection(131) inproceedings(11238) phdthesis(193) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12478 occurrences of 5080 keywords

Results
Found 19048 publication records. Showing 19048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Nagaraj Ns, John Byler, Koorosh Nazifi, Venugopal Puvvada, Toshiyuki Saito, Alan Gibbons, S. Balajee What's cool for the future of ultra low power designs? Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF system level power, low power, system design
17Gopu V. R. Muni Kumar, B. Sundar Rajan Low PAPR square STBCs from complex partial-orthogonal designs (CPODs). Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17M. R. Darafsheh, A. Iranmanesh, R. Kahkeshani Some designs and codes invariant under the groups S 9 and A 8. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mathematics Subject Classifications (2000) 05E15, 05B05, 05E20
17P. Balasubramanian 0001, David A. Edwards, Charlie Brej Self-timed full adder designs based on hybrid input encoding. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bing-Chuan Bai, Augusli Kifli, Chien-Mo James Li, Kun-Cheng Wu Fault modeling and testing of retention flip-flops in low power designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Pradyumn Kumar Shukla Genetically Optimized Architectural Designs for Control of Pedestrian Crowds. Search on Bibsonomy ACAL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF crowd stampedes, genetic algorithms, design optimization
17Hyunmin Kim, Yong-Hyuk Kim Optimal designs of ambiguous mobile keypad with alphabetical constraints. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF keypad design, genetic algorithm, mobile device, dynamic programming, multitap
17Hariharan Sankaran, Srinivas Katkoori On-chip dynamic worst-case crosstalk pattern detection and elimination for bus-based macro-cell designs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Mike Sablatash Designs and architectures of filter bank trees for spectrally efficient multi-user communications: review, modifications and extensions of wavelet packet filter bank trees. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Filter bank trees, Multi-user OFDM-type communication systems
17Bryan Horling, Victor R. Lesser Using quantitative models to search for appropriate organizational designs. Search on Bibsonomy Auton. Agents Multi Agent Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Zhifei Fan, Louis L. Scharf, John A. Gubner Analog Precoder and Equalizer Designs and their Geometry for Multichannel Communication. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jon-Lark Kim, Patrick Solé Skew Hadamard designs and their codes. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 94B25, AMS Classifications 05B20
17Yinghui Li, Hlaing Minn Robust and consistent pilot designs for frequency offset estimation in MIMO OFDM systems. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kerstin Hesse, Paul C. Leopardi The Coulomb energy of spherical designs on S 2. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mathematics Subject Classifications (2000) Primary: 31C20, Secondary: 41A55, 42C20, 65B10, 65D32, 42C10
17Jeng-Ji Huang, Zong-Jhe Wu, Wei-Ting Wang Designs of microcell for an integrated HAPS-terrestrial CDMA system. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Michael Todd Gamble, Rose F. Gamble Reasoning about Hybrid System of Systems Designs. Search on Bibsonomy ICCBSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interoperability, hybrid systems, isolation, system of systems
17Michael Huber Steiner t-Designs for Large t. Search on Bibsonomy MMICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17James Alfred Walker, James A. Hilder, Andy M. Tyrrell Evolving Variability-Tolerant CMOS Designs. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Gregory Hornby, William F. Kraus, Jason D. Lohn Evolving MEMS Resonator Designs for Fabrication. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Paula Herber, Joachim Fellmuth, Sabine Glesner Model checking SystemC designs using timed automata. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF model checking, timed automata, SystemC
17Joachim Schenk, Stefan Schwärzler, Günther Ruske, Gerhard Rigoll Novel VQ Designs for Discrete HMM On-Line Handwritten Whiteboard Note Recognition. Search on Bibsonomy DAGM-Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hossein Asadi 0001, Mehdi Baradaran Tahoori Analytical Techniques for Soft Error Rate Modeling and Mitigation of FPGA-Based Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Gary L. Ebert, Keith E. Mellinger Mixed partitions and related designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 51A35, AMS Classification 51E20
17Carl Bracken, Gary McGuire Duals of quasi-3 designs are not necessarily quasi-3. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AMS Classifications 05B05, 94B65, 05B20
17Niranjan Balachandran, Dijen K. Ray-Chaudhuri Simple 3-designs and PSL(2, q) with q == 1 (mod 4). Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AMS Classification 05B30, 05E15
17Sanghyeon Baeg Delay Fault Coverage Enhancement by Partial Clocking for Low-Power Designs With Heavily Gated Clocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Gaurav Singh 0006, Sandeep K. Shukla Model Checking Bluespec Specified Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Noureddine Chabini A Heuristic for Reducing Dynamic Power Dissipation in Clocked Sequential Designs. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17A. Nardi, Emre Tuncer, Srinath R. Naidu, A. Antonau, S. Gradinaru, Tao Lin, J. Song Use of statistical timing analysis on real designs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rene Krenz-Baath, Andreas Glowatz, Jürgen Schlöffel Computation and Application of Absolute Dominators in Industrial Designs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Bill Pontikakis, Hung Tien Bui, François R. Boyer, Yvon Savaria A Low-Complexity High-Speed Clock Generator for Dynamic Frequency Scaling of FPGA and Standard-Cell Based Designs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Carl Bracken, Gary McGuire, Harold N. Ward New quasi-symmetric designs constructed using mutually orthogonal Latin squares and Hadamard matrices. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AMS Classifications 05B05, 94B65, 05B20
17Maciej J. Ciesielski, Priyank Kalla, Serkan Askar Taylor Expansion Diagrams: A Canonical Representation for Verification of Data Flow Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Register transfer level—design aids, arithmetic and logic structures—verification, symbolic and algebraic manipulation, verification
17Christian Genz, Rolf Drechsler System Exploration of SystemC Designs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17José Arnaldo Barra Montevechi, Renaldo Gonzaga de Almeida Filho, André Luiz Medeiros Application of factorial designs for reducing factors in optimization via discrete-event simulation. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Monika Záková, Filip Zelezný, Javier A. García-Sedano, Cyril Masia Tissot, Nada Lavrac, Petr Kremen, Javier Molina Relational Data Mining Applied to Virtual Engineering of Product Designs. Search on Bibsonomy ILP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Nir Piterman, Amir Pnueli, Yaniv Sa'ar Synthesis of Reactive(1) Designs. Search on Bibsonomy VMCAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xue-Bin Liang, Xiang-Gen Xia 0001 Fast differential unitary space-time demodulation via square orthogonal designs. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Eric Anderson 0003, Susan Spence, Ram Swaminathan, Mahesh Kallahalla, Qian Wang Quickly finding near-optimal storage designs. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Harri Haanpää, Petteri Kaski The Near Resolvable 2-(13, 4, 3) Designs and Thirteen-Player Whist Tournaments. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF near resolvable design, whist tournament, orderly algorithm
17Carl Bracken, Gary McGuire Characterization of SDP Designs That Yield Certain Spin Models. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF symmetric difference property, spin model, design, symplectic
17Yuan-Pei Lin, See-May Phoong Window designs for DFT-based multicarrier systems. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Marc Herbstritt, Bernd Becker 0001 On SAT-based Bounded Invariant Checking of Blackbox Designs. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yu-Shen Yang, Andreas G. Veneris, Paul J. Thadikaran, Srikanth Venkataraman Extraction Error Modeling and Automated Model Debugging in High-Performance Low Power Custom Designs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace: an analytical placer for mixed-mode designs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-mode placement, floorplanning, analytical placement
17Paul Baker, Tim Todman, Henry Styles, Wayne Luk Reconfigurable Designs for Radiosity. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, dual-Vt, metal gate
17Christianto C. Liu, Jeng-Huei Chen, Rajit Manohar, Sandip Tiwari Mapping system-on-chip designs from 2-D to 3-D ICs. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Alex Samorodnitsky On Linear Programming Bounds for Spherical Codes and Designs. Search on Bibsonomy Discret. Comput. Geom. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Peter D. Neuhaus, Michael O'Sullivan, David Eaton, John Carff, Jerry E. Pratt Concept Designs for Underwater Swimming Exoskeletons. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17José Miguel Valiente, Francisco Albert, Carmen Carretero, José María Gomis Structural Description of Textile and Tile Pattern Designs Using Image Processing. Search on Bibsonomy ICPR (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Xiao Yang, Keying Ye On Efficiency of Experimental Designs for Single Factor cDNA Microarray Experiments. Search on Bibsonomy CASDMKM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Rolf Drechsler Synthesizing checkers for on-line verification of System-on-Chip designs. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Noureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria Unification of basic retiming and supply voltage scaling to minimize dynamic power consumption for synchronous digital designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage scaling, performance, power consumption, CMOS, retiming, digital design
17Fei Xie, James C. Browne Integrated State Space Reduction for Model Checking Executable Object-Oriented Software System Designs. Search on Bibsonomy FASE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17HyungWon Kim 0001, John P. Hayes Delay fault testing of IP-based designs via symbolic path modeling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17HyungWon Kim 0001, John P. Hayes Realization-independent ATPG for designs with unimplemented blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Lionel C. Briand, Christian Bunse, John W. Daly A Controlled Experiment for Evaluating Quality Guidelines on the Maintainability of Object-Oriented Designs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF object-oriented, replication, experiment, maintainability, Design documents
17Sitaram Yadavalli, Sandip Kundu On Fault-Simulation Through Embedded Memories On Large Industrial Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Arran Derbyshire, Wayne Luk Combining Serialisation and Reconfiguration for FPGA Designs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Linda Trocine, Linda C. Malone Statistical tools for simulation design and analysis I: finding important independent variables through screening designs: a comparison of methods. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Vesselin K. Vassilev, Julian F. Miller Scalability Problems of Digital Circuit Evolution: Evolvability and Efficient Designs. Search on Bibsonomy Evolvable Hardware The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Jitendra Khare, Hans T. Heineken, Manuel d'Abreu Cost Trade-Offs in System On Chip Designs. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Manufacturing Cost, Test Cost, Technology Migration, Cost Trade-Offs, System On Chip
17Elizabeth A. Kendall Role Model Designs and Implementations with Aspect-oriented Programming. Search on Bibsonomy OOPSLA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF aspect-oriented programming, role modelling
17Lun Ye, Foong-Charn Chang, Peter Feldmann, Rakesh Chadha, Nagaraj Ns, Frank Cano Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Wen-Jong Fang, Peng-Cheng Kao, Allen C.-H. Wu A Multi-Level FPGA Synthesis Method Supporting HDL Debugging for Emulation-Based Designs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17K. K. P. Chanduka, Mahesh C. Bhandari, Arbind K. Lal Lower Bounds for Group Covering Designs. Search on Bibsonomy AAECC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17John S. Fernando, Milos D. Ercegovac Conventional and on-line arithmetic designs for high-speed recursive digital filters. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Rajiv Jain, Alice C. Parker, Nohbyung Park Predicting system-level area and delay for pipelined and nonpipelined designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Loe M. G. Feijs Transformations of Designs. Search on Bibsonomy Algebraic Methods The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Daniel Le Ly, Paul Chow A high-performance FPGA architecture for restricted boltzmann machines. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neural network hardware, restricted boltzmann machines, scalable hardware designs, fpga, high-performance computing, complexity reduction
17Annalisa De Bonis New combinatorial structures with applications to efficient group testing with inhibitors. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Group testing algorithms, Superimposed codes, Pooling designs, Selectors, Computational molecular biology
17Grzegorz Mrugalski, Janusz Rajski, Chen Wang 0014, Artur Pogiel, Jerzy Tyszer Isolation of Failing Scan Cells through Convolutional Test Response Compaction. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF convolutional compactors, fault diagnosis, test response compaction, scan-based designs
17Kwang-Ting (Tim) Cheng Moore's law meets the life sciences. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF labs on chips, CAD, biochips, multimedia designs
17Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF legalization technique, FastPlace 3.0, multilevel quadratic placement algorithm, placement congestion control, large-scale mixed-size designs, multilevel global placement framework, two-level clustering scheme, iterative local refinement, placement blockages, placement congestion constraints
17Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
17Sudarshan Bahukudumbi, Sule Ozev, Krishnendu Chakrabarty, Vikram Iyengar AWafer-Level Defect Screening Technique to Reduce Test and Packaging Costs for "Big-D/Small-A" Mixed-Signal SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed-signal cores, wafer-level defect screening, packaging cost reduction, big-D/small-A mixed-signal system-on-chip designs, mixed-signal SoC, consumer electronics market, wafer-level testing, correlation-based signature analysis, low-cost digital testers, generic cost model, mixed-signal test, digital logic, test cost reduction
17Marc Herbstritt, Bernd Becker 0001 On Combining 01X-Logic and QBF. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 01X, Blackbox Designs, Bounded Model Checking, QBF
17Mahmoud O. Elish, David C. Rine Design Structural Stability Metrics and Post-Release Defect Density: An Empirical Study. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF software metrics, object-oriented designs, Structural stability
17Evangelos Vergetis, Roch Guérin, Saswati Sarkar Realizing the benefits of user-level channel diversity. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF channel diversity, open-loop control, robustness, cross-layer designs
17Robert D. Kenney, Michael J. Schulte High-Speed Multioperand Decimal Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multioperand adders, Computer arithmetic, hardware designs, decimal arithmetic
17Mahmoud O. Elish, David C. Rine Investigation of Metrics for Object-Oriented Design Logical Stability. Search on Bibsonomy CSMR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Design stability, metrics, object-oriented designs, maintainability
17Sylvia B. Encheva, Gérard D. Cohen Partially Identifying Codes for Copyright Protection. Search on Bibsonomy AAECC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Secure frameproof codes, designs, copyright protection
17Adam L. Young, Moti Yung Bandwidth-Optimal Kleptographic Attacks. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Leakage attacks, the Newton channel, design methodologies for asymmetric ciphers, kleptographic attacks, attack bandwidth, discrete logarithm based systems, tamper-proof hardware designs, public scrutiny, hardware technologies: EEPROM, ferroelectric, trust, DSA, ElGamal, subliminal channels, non-volatile memory
17Michael J. Schulte, Earl E. Swartzlander Jr. A Family of Variable-Precision Interval Arithmetic Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF variable-precision arithmetic, computer arithmetic, accuracy, Processors, interval arithmetic, hardware designs, roundoff error
17Amnart Pohthong, David Budgen Accessing software component documentation during design: an observational study. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF software component documentation access, software delivery, laboratory-based studies, system design, systems analysis, software reusability, subroutines, component-based designs, system documentation, reusable software components
17Yu-Kwong Kwok, Vincent K. N. Lau A Performance Study of Multiple Access Control Protocols for Wireless Multimedia Services. Search on Bibsonomy ICNP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF integrated voice/data communication, packet reservation multiple access, multiple access control protocols, wireless multimedia services, broadband wireless multimedia network, user traffic requirements, channel bandwidth allocation, TDMA-based MAC protocols, integrated wireless data/voice services, SCAMA, DTDMA/VR, DTDMA/PR, D4RUMA, DPRMA, DSA++, PRMA/DA, orthogonal design, quality of service, quality of service, performance, asynchronous transfer mode, multimedia communication, time division multiple access, MAC protocol, telecommunication traffic, access protocols, protocol designs, CBR, VBR, broadband networks, packet radio networks, ABR, wireless ATM network
17Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng Dynamic Timing Analysis Considering Power Supply Noise Effects. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic timing analysis, input pattern dependent, power supply noise, deep submicron designs
17Lothar Baum, Martin Becker 0002 Generic Components to Foster Reuse. Search on Bibsonomy TOOLS (37) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF software development efficiency, project-specific aspects, reusable component contribution, inefficient general solutions, niche requirements, specifically optimized designs, requirements space, adaptable generic components, automatic component instantiation, embedded systems, software tools, software tools, abstraction, software reuse, software components, software reusability, computer aided software engineering, operating systems (computers), subroutines, embedded operating systems
17Douglas R. Stinson, Ruizhong Wei Key Preassigned Traceability Schemes for Broadcast Encryption. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 1998 DBLP  DOI  BibTeX  RDF key preassigned scheme, traceability, broadcast encryption, secret sharing schemes, combinatorial designs
17S. Sandeep Pradhan, Kannan Ramchandran Efficient layered video delivery over multicarrier systems using optimized embedded modulation. Search on Bibsonomy ICIP (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF efficient layered video delivery, multicarrier systems, optimized embedded modulation, multi-carrier modulation systems, layered framework, multiresolution framework, importance layers, BER requirements, embedded multi-carrier modulation, EMCM, table-lookup based power allocation algorithm, multicarrier constellation design, deliverable throughput bitrates, resolution layers, TDM-based MCM designs, embedded wavelet image coder, 3 dB, visual communication, noise immunity, MCM, image transmission
17Raghava V. Cherabuddi, Magdy A. Bayoumi, H. Krishnamurthy A low power based system partitioning and binding technique for multi-chip module architectures. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF binding technique, multi-chip module architectures, high-level synthesis framework, inter-chip buses, stochastic evolution based technique, multichip modules, switching activity, MCM, functional units, system partitioning, benchmark designs
17Matthew B. Dwyer Modular Flow Analysis for Concurrent Software. Search on Bibsonomy ASE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF modular flow analysis, FLAVERS, early validation, individual module designs, system-level validation, whole-program automated static analysis technique, concurrent software systems, program flow analysis, explicitly stated correctness properties, modular analysis approach, realistic concurrent multi-component system, parallel programming
17Peter Wohl, John A. Waicukauski Using ATPG for clock rules checking in complex scan design. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock rules checking, complex scan designs, structured DFT, automated design-rules-checking, robust set of rules, clock-rule-violation detection, fast clock verification, large microprocessor design, topological circuit analysis, zero delay, user controlled verification, capture ability, port contention, cone tracing, equivalent sources, ATPG, race conditions, computer testing, timing verification
17Karin Erni, Claus Lewerentz Applying design-metrics to object-oriented frameworks. Search on Bibsonomy IEEE METRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF factor-criteria-metrics model, multi-metrics, structural measurements, measurement reports, framework reorganization reviews, stable system designs, object-oriented programming, software developers, software quality, software metrics, feedback, object-oriented methods, classes, object-oriented frameworks, quality model, design principles, incremental development, abstraction levels, design rules, subsystems, program evaluation, graphical applications, object-oriented design metrics
17James O. Bondi, Ashwini K. Nanda, Simonjit Dutta Integrating a Misprediction Recovery Cache (MRC) into a Superscalar Pipeline. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch target buffer technology, deep pipelines, misprediction recovery cache integration, performance loss, residual misprediction penalty, superscalar pipeline, microprocessor chips, microprocessor designs, CISC, multiple instructions
17Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
17Steven Bradley, William Henderson, David Kendall, Adrian Robson, Stephen Hawkes A Formal Design and Implementation Method for Real-Time Embedded Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF real-time system development, AORTA, development life cycle, real-time systems, real-time systems, verification, real-time embedded systems, formal designs
17Rajesh K. Gupta 0001, Daniel Gajski, Randy Allen, Yatin Trivedi Opportunities and pitfalls in HDL-based system design. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF textual Hardware Description Languages, system designs, VHDL, modeling language, hardware description languages, Verilog, HDLs, hardware systems
17S. K. Gupta, M. M. Hasan KANSYS: a CAD tool for analog circuit synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF KANSYS, analog circuit synthesis, knowledge intensive hierarchical design, transistor circuit designs, functional circuits, knowledge based systems, hierarchy, integrated circuit design, circuit CAD, CAD tool, analogue integrated circuits, design knowledge, process specifications
Displaying result #201 - #300 of 19048 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license