|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 6705 occurrences of 3042 keywords
|
|
|
Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
30 | Carl De Ranter, Geert Van der Plas, Michiel Steyaert, Georges G. E. Gielen, Willy M. C. Sansen |
CYCLONE: automated design and layout of RF LC-oscillators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(10), pp. 1161-1170, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Lih-Yang Wang, Yen-Tai Lai |
Graph-theory-based simplex algorithm for VLSI layout spacingproblems with multiple variable constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(8), pp. 967-979, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
30 | Andrew B. Kahng, Shailesh Vaya, Alexander Zelikovsky |
New graph bipartizations for double-exposure, bright field alternating phase-shift mask layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 133-138, 2001, ACM, 0-7803-6634-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
30 | Alex Ramírez, Luiz André Barroso, Kourosh Gharachorloo, Robert S. Cohn, Josep Lluís Larriba-Pey, P. Geoffrey Lowney, Mateo Valero |
Code layout optimizations for transaction processing workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 28th Annual International Symposium on Computer Architecture, ISCA 2001, Göteborg, Sweden, June 30-July 4, 2001, pp. 155-164, 2001, ACM, 0-7695-1162-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
30 | Tomoyuki Uchida, Yuko Itokawa, Takayoshi Shoudai, Tetsuhiro Miyahara, Yasuaki Nakamura |
A New Framework for Discovering Knowledge from Two-Dimensional Structured Data Using Layout Formal Graph System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ALT ![In: Algorithmic Learning Theory, 11th International Conference, ALT 2000, Sydney, Australia, December 11-13, 2000, Proceedings, pp. 141-155, 2000, Springer, 3-540-41237-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
30 | Youcef Bourai, C.-J. Richard Shi |
Symmetry Detection for Automatic Analog-Layout Recycling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999, pp. 5-8, 1999, IEEE Computer Society, 0-7803-5012-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
30 | Akira Nagao, Isao Shirakawa, Takashi Kambe |
A layout approach to monolithic microwave IC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(12), pp. 1262-1272, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
30 | Akira Nagao, Takashi Kambe, Isao Shirakawa |
A layout approach to monolithic microwave IC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998, pp. 65-72, 1998, ACM, 1-58113-021-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
30 | Fenghao Mu, Christer Svensson |
Efficient High-Speed CMOS Design by Layout Based Schematic Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 24th EUROMICRO '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden, pp. 10337-10340, 1998, IEEE Computer Society, 0-8186-8646-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
30 | Claudio Lourenço da Silva, Ana Cristina Bicharra Garcia |
SpADD: An Active Design Documentation Framework Extension Applied to Spatial Layout Design Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IBERAMIA ![In: Progress in Artificial Intelligence - IBERAMIA 98, 6th Ibero-American Conference on AI, Lisbon, Portugal, October 5-9, 1998, Proceedings., pp. 337-348, 1998, Springer, 3-540-64992-1. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
30 | Glenn Franck, Monica Sardesai, Colin Ware |
Layout and structuring object oriented software in three dimensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1995 Conference of the Centre for Advanced Studies on Collaborative Research, November 7-9, 1995, Toronto, Ontario, Canada, pp. 22, 1995, IBM. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
30 | Vijaya Ramachandran |
On driving many long wires in a VLSI layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 33(4), pp. 687-701, 1986. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
30 | Kaimin Zhang, Lu Wang 0002, Aimin Pan, Bin Benjamin Zhu |
Smart caching for web browsers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 19th International Conference on World Wide Web, WWW 2010, Raleigh, North Carolina, USA, April 26-30, 2010, pp. 491-500, 2010, ACM, 978-1-60558-799-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
caching, web, javascript, browser, css, cascade style sheet |
30 | Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi |
Creating an affordable 22nm node using design-lithography co-optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 95-96, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
design technology co-optimization, templates, DFM, regular fabric |
30 | Tim Dwyer, Kim Marriott, Michael Wybrow |
Dunnart: A Constraint-Based Network Diagram Authoring Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 16th International Symposium, GD 2008, Heraklion, Crete, Greece, September 21-24, 2008. Revised Papers, pp. 420-431, 2008, Springer, 978-3-642-00218-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
30 | Hailong Yao, Subarna Sinha, Charles C. Chiang, Xianlong Hong, Yici Cai |
Efficient process-hotspot detection using range pattern matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 625-632, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
30 | Charles C. Chiang, Andrew B. Kahng, Subarna Sinha, Xu Xu 0001, Alexander Zelikovsky |
Bright-Field AAPSM Conflict Detection and Correction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 908-913, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | H. Chang, Eugene Shragowitz, Jian Liu, Habib Youssef, Bing Lu, Suphachai Sutanthavibul |
Net criticality revisited: an effective method to improve timing in physical design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002, pp. 155-160, 2002, ACM, 1-58113-460-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
criticality metrics, net delay bound, routing, placement |
30 | Mahmut T. Kandemir, Prithviraj Banerjee, Alok N. Choudhary, J. Ramanujam, Eduard Ayguadé |
Static and Dynamic Locality Optimizations Using Integer Linear Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 12(9), pp. 922-941, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
cache miss estimation, compiler optimizations, integer linear programming, Data reuse, cache locality, memory layouts |
30 | Andrew B. Kahng, Gabriel Robins, Anish Singh, Huijuan Wang, Alexander Zelikovsky |
Filling and slotting: analysis and algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998, pp. 95-102, 1998, ACM, 1-58113-021-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
30 | Zinaida V. Apanovich, Alexander G. Marchuk |
Top-Down Approach to Technology Migration for Full-Custom Mask Layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India, pp. 48-52, 1998, IEEE Computer Society, 0-8186-8224-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Technology migration, decomposition, compaction, rerouting |
30 | Jon A. Solworth |
GENERIC: a silicon compiler support language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986., pp. 524-530, 1986, IEEE Computer Society Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
30 | José Monteiro da Mata |
ALLENDE: a procedural language for the hierarchical specification of VLSI layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 22nd ACM/IEEE conference on Design automation, DAC 1985, Las Vegas, Nevada, USA, 1985., pp. 183-189, 1985, ACM, 0-8186-0635-5. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
29 | Vida Dujmovic, David R. Wood |
Upward Three-Dimensional Grid Drawings of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Order ![In: Order 23(1), pp. 1-20, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
grid drawing, three dimensional graph drawing, upward drawing, track layout, upward track layout, upward queue layout, strong star colouring, harmonious colouring, graph drawing |
29 | Si-Qing Zheng, Joon Shik Lim, S. Sitharama Iyengar |
Routing using implicit connection graphs [VLSI design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 49-52, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
implicit connection graphs, shortest path related problems, minimum spanning tree problem, sparse strong connection graph, large VLSI design applications, VLSI, graph theory, search problems, circuit layout CAD, VLSI layout, integrated circuit layout, obstacles, search behavior |
29 | Hannah Honghua Yang, D. F. Wong 0001 |
New algorithms for min-cut replication in partitioned circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995, pp. 216-222, 1995, IEEE Computer Society / ACM, 0-8186-7213-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Hyper-MAMC, VLSI circuit partitioning, k-way partition, k-way partitioned digraph, min-cut replication, partitioned circuits, VLSI, optimal algorithm, circuit layout CAD, hypergraphs, VLSI layout, digraphs, circuit layout |
29 | Srinivasa R. Danda, Sreekrishna Madhwapathy, Naveed A. Sherwani, Aman Sureka |
OPRON: a new approach to planar OTC routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 208-212, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
OPRON, planar OTC routing, planar over-the-cell routing, VLSI, dynamic programming, network routing, circuit layout CAD, VLSI layout, integrated circuit layout, dynamic programming algorithm |
29 | J. T. Mowchenko, Y. Yang |
Optimizing wiring space in slicing floorplans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 54-, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
wiring space optimisation, slicing floorplans, net density, sibling rectangles, circuit modules, routed layouts, VLSI, heuristic, network routing, circuit layout CAD, circuit optimisation, integrated circuit layout, branch and bound algorithm, wiring, IC layout |
29 | Rajat Kumar Pal, A. K. Datta, Sudebkumar Prasant Pal, M. M. Das, Ajit Pal |
A general graph theoretic framework for multi-layer channel routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 202-207, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
graph theoretic framework, multilayer channel routing, track assignment, total wire length minimisation, two-layer VH routing model, three-layer HVH routing model, VLSI, graph theory, heuristics, network routing, circuit layout CAD, minimisation, VLSI layout, integrated circuit layout |
29 | Rajat Kumar Pal, Sudebkumar Prasant Pal, M. M. Das, Ajit Pal |
Computing area and wire length efficient routes for channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 196-201, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
area efficient routes, wire length efficient routes, total wire length reduction, multilayer routing solutions, computational complexity, VLSI, NP-hard, polynomial time algorithms, network routing, circuit layout CAD, minimisation, VLSI layout, integrated circuit layout, channel routing |
29 | Evan Schrier, Mira Dontcheva, Charles E. Jacobs, Geraldine Wade, David Salesin |
Adaptive layout for dynamically aggregated documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IUI ![In: Proceedings of the 13th International Conference on Intelligent User Interfaces, IUI 2008, Gran Canaria, Canary Islands, Spain, January 13-16, 2008, pp. 99-108, 2008, ACM, 978-1-59593-987-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
grid-based layout, XML, constraints, PDF, CSS, XSL, adaptive layout |
29 | Martin L. Brady, Majid Sarrafzadeh |
Stretching a Knock-Knee Layout for Multilayer Wiring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 39(1), pp. 148-151, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
knock-knee layout stretching, multilayer wiring, knock-knee mode, 4/3 approximation algorithm, VLSI, VLSI, NP-complete, optimal algorithm, circuit layout CAD |
29 | Ghislaine Thuau, Gabriele Saucier |
Optimized Layout of MOS Cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 37(1), pp. 79-87, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
optimised MOS cell layout, optimized topological arrangements, minimized Boolean function, well-structured network, transistor merging procedure, nonseries-parallel network, logic design, circuit layout CAD, minimisation of switching nets, logical optimization, field effect integrated circuits |
28 | Anirudh Devgan, Bulent Basaran, David Colleran, Mar Hershenson |
Accelerated design of analog, mixed-signal circuits in Titan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 67-72, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
analog circuit layout, analog/digital, custom design, layout, physical design, analog circuits, mixed-signal circuits |
28 | Sherif Hammouda, Hazem Said, Mohamed Dessouky, Mohamed Tawfik, Quang Nguyen, Wael M. Badawy, Hazem M. Abbas, Hussein I. Shahein |
Chameleon ART: a non-optimization based analog design migration framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 885-888, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
analog reuse, design extraction, layout compaction, layout retargeting, circuit sizing |
28 | Juan A. Montiel-Nelson, V. de Armas, Roberto Sarmiento, Antonio Núñez |
A Cell and Macrocell Compiler for GaAs VLSI Full-Custom Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 947-948, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Gallium Arsenide automated layout generation system, GaAs VLSI design, power supply and ground distribution model, full-custom cell layout style, full-custom layouts of very high speed circuits, cell library builder, random logic macrocell generator, iterative logic array generator |
28 | Gerard A. Allan, Anthony J. Walton |
Efficient critical area estimation for arbitrary defect shapes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 20-22 October 1997, Paris, France, pp. 20-28, 1997, IEEE Computer Society, 0-8186-8168-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
critical area estimation, arbitrary defect shapes, circular defects, elliptical defects, rod shaped defects, arbitrary shaped defects, Edinburgh Yield Estimator, Cadence layout editor, EYE-sampling tool, EYE, EYES, integrated circuit yield, IC layout |
28 | Debashish Niyogi, Sargur N. Srihari |
Knowledge-based derivation of document logical structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume I, pp. 472-475, 1995, IEEE Computer Society, 0-8186-7128-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
document logical structure, spatial domain knowledge, document logical structure derivation, rule-based control strategy, multi-level knowledge base, document layout rules, DeLoS, block classification, read-ordering operations, document image data, incremental inferences, knowledge based systems, knowledge-based, grouping, inferences, document image processing, inference mechanisms, document image, document layout, symbolic description |
28 | Nian-Feng Tzeng, Po-Jen Chuang |
A Pairwise Substitutional Fault Tolerance Technique for the Cube-Connected Cycles Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(4), pp. 433-438, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
cube-connected cycles architecture, CCC, fault-tolerant CCC structure, fault-tolerantstructure, fault tolerance, VLSI, fault tolerant computing, reconfiguration, multiprocessor interconnection networks, VLSI layout, reliability analysis, performance degradation, layout area |
27 | Zhenjiang Wang, Chenggang Wu 0002, Pen-Chung Yew |
On improving heap memory layout by dynamic pool allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 92-100, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
adaptive partial call chain, pool allocation, dynamic optimization, data layout |
27 | Yu Zheng 0001, Ming C. Lin, Dinesh Manocha |
Efficient simplex computation for fixture layout design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Solid and Physical Modeling ![In: ACM Symposium on Solid and Physical Modeling, Proceedings of the 15th ACM Symposium on Solid and Physical Modeling, SPM 2010, Haifa, Israel, September 1-3, 2010, pp. 71-80, 2010, ACM, 978-1-60558-984-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
layout design, randomized algorithms, simplex, fixture |
27 | Hadi Panahi, Masoud Rabbani, Reza Tavakkoli-Moghaddam |
A Comparison of Three Meta-heuristics for a Closed-Loop Layout Problem with Unequal-Sized Facilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
New Challenges in Applied Intelligence Technologies ![In: New Challenges in Applied Intelligence Technologies, pp. 265-278, 2008, Springer, 978-3-540-79354-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Closed-loop layout problem, unequal-sized facilities, Genetic algorithm, Simulated annealing, Ant colony optimization |
27 | Holger Eichelberger |
Automatic layout of UML use case diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFTVIS ![In: Proceedings of the ACM 2008 Symposium on Software Visualization, Ammersee, Germany, September 16-17, 2008, pp. 105-114, 2008, ACM, 978-1-60558-112-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
automatic layout algorithms, drawing rules, UML, model-driven development, use case diagrams |
27 | Cristiano Lazzari, Ricardo A. L. Reis, Lorena Anghel |
A Case Study on Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 23(6), pp. 625-633, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Automatic layout generation, Transient fault injection, Phase-locked loop |
27 | Yaniv Frishman, Ayellet Tal |
Multi-Level Graph Layout on the GPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 13(6), pp. 1310-1319, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
GPU, graph partitioning, Graph layout |
27 | Jean-Yves Ramel, S. Leriche, Marie-Luce Demonet, S. Busson |
User-driven page layout analysis of historical printed books. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Document Anal. Recognit. ![In: Int. J. Document Anal. Recognit. 9(2-4), pp. 243-261, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Page layout analysis, Analysis strategy, Zone classification, Digital libraries, Segmentation |
27 | Wei Lai, Xiaodi Huang, Quang Vinh Nguyen, Mao Lin Huang |
Applying Graph Layout Techniques to Web Information Visualization and Navigation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGIV ![In: 4th International Conference on Computer Graphics, Imaging and Visualization (CGIV 2007), August 14-16, 2007, Bangkok, Thailand, pp. 447-453, 2007, IEEE Computer Society, 0-7695-2928-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Graph layout techniques, Visual map, Web information, Navigation, Web browser, Web graph |
27 | Gen Hattori, Keiichiro Hoashi, Kazunori Matsumoto, Fumiaki Sugaya |
Robust web page segmentation for mobile terminal using content-distances and page layout information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 16th International Conference on World Wide Web, WWW 2007, Banff, Alberta, Canada, May 8-12, 2007, pp. 361-370, 2007, ACM, 978-1-59593-654-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
content-distance, web page layout, segmentation, mobile phone, web page |
27 | Berna Haktanirlar Ulutas, A. Attila Islier |
Parameter Setting for Clonal Selection Algorithm in Facility Layout Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part I, pp. 886-899, 2007, Springer, 978-3-540-74468-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Facility layout problem, artificial immune system, design of experiments, clonal selection algorithm, CRAFT |
27 | Di Wen 0001, Xiaoqing Ding |
Visual Similarity Based Document Layout Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 21(3), pp. 459-465, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
texture analysis, dynamic clustering, document layout analysis |
27 | Karl-Michael Schneider |
Information extraction from calls for papers with conditional random fields and layout features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Artif. Intell. Rev. ![In: Artif. Intell. Rev. 25(1-2), pp. 67-77, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Layout features, Information extraction, Conditional random fields |
27 | Oliver Pell |
Verification of FPGA Layout Generators in Higher-Order Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Autom. Reason. ![In: J. Autom. Reason. 37(1-2), pp. 117-152, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
layout description, circuit verification, FPGA, theorem proving |
27 | Jürgen Wolff von Gudenberg, A. Niederle, Marc Ebner, Holger Eichelberger |
Evolutionary layout of UML class diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFTVIS ![In: Proceedings of the ACM 2006 Symposium on Software Visualization, Brighton, UK, September 4-5, 2006, pp. 163-164, 2006, ACM, 1-59593-464-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
layout metrics, UML, evolutionary algorithms, graph drawing, UML class diagrams |
27 | Wai Leng Lee, Mark Green 0001 |
Automatic layout for 3D user interfaces construction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCIA ![In: Proceedings VRCIA 2006 ACM International Conference on Virtual Reality Continuum and its Applications, Chinese University of Hong Kong, Hong Kong, China, June 14-17, 2006, pp. 113-120, 2006, ACM, 1-59593-324-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
layout technique, 3D user interface |
27 | Hiroshi Hosobe |
Solving linear and one-way constraints for web document layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005, pp. 1252-1253, 2005, ACM, 1-58113-964-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cassowary, constraints, web browsers, simplex method, document layout |
27 | Dabo Sun, Kenny Wong |
On Evaluating the Layout of UML Class Diagrams for Program Comprehension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWPC ![In: 13th International Workshop on Program Comprehension (IWPC 2005), 15-16 May 2005, St. Louis, MO, USA, pp. 317-326, 2005, IEEE Computer Society, 0-7695-2254-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
perceptual theory, UML modeling tools, aesthetics, graph layout, UML class diagrams |
27 | Wai Leng Lee, Mark Green 0001 |
A layout framework for 3D user interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2005, Monterey, CA, USA, November 7-9, 2005, pp. 96-105, 2005, ACM, 1-59593-098-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
layout techniques, 3D user interface |
27 | Chris Lattner, Vikram S. Adve |
Automatic pool allocation: improving performance by controlling data structure layout in the heap. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2005 Conference on Programming Language Design and Implementation, Chicago, IL, USA, June 12-15, 2005, pp. 129-142, 2005, ACM, 1-59593-056-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
pool allocation, cache, static analysis, data layout, recursive data structure |
27 | Seung Woo Son 0001, Guangyu Chen, Mahmut T. Kandemir |
Disk layout optimization for reducing energy consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 274-283, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low power, optimizing compiler, disk layout |
27 | Jonathan M. Stott, Peter Rodgers 0001 |
Metro Map Layout Using Multicriteria Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: 8th International Conference on Information Visualisation, IV 2004, 14-16 July 2004, London, UK, pp. 355-362, 2004, IEEE Computer Society, 0-7695-2177-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
metro map layout problem, public transport schematics, graph drawing, multicriteria optimization |
27 | Yoonseo Choi, Taewhan Kim |
Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 881-886, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
page/burst modes, embedded system, memory layout, storage assignment |
27 | Herman Schmit, Vikas Chandra |
FPGA switch block layout and evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2002, Monterey, CA, USA, February 24-26, 2002, pp. 11-18, 2002, ACM, 1-58113-452-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
VLSI layout, FPGA interconnect |
27 | Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera |
Crosstalk noise optimization by post-layout transistor sizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002, pp. 126-130, 2002, ACM, 1-58113-460-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
capacitive coupling noise, post-layout optimization, gate sizing, transistor sizing, crosstalk noise |
27 | Supratik Chakraborty, Rajeev Murgai |
Layout-Driven Timing Optimization by Generalized De Morgan Transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 647-654, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
layout-driven optimization, in-place circuit optimization, DeMorgan transformation, deep sub-micron design, Timing optimization, timing closure |
27 | Junhyung Um, Taewhan Kim |
Layout-aware synthesis of arithmetic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 207-212, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
layout, high performance, carry-save-adder |
27 | Katsuyoshi Miura, Koji Nakamae, Hiromu Fujioka |
Hierarchical VLSI Fault Tracing by Successive Circuit Extraction from CAD Layout Data in the CAD-Linked EB Test System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 10(3), pp. 255-269, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
hierarchical fault tracing, electron beam testing, hierarchically structured CAD layout, successive circuit extraction |
27 | Min Xu, Fadi J. Kurdahi |
Layout-Driven RTL Binding Techniques for High-Level Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 9th International Symposium on System Synthesis, ISSS '96, San Diego, CA, USA, November 6-8, 1996., pp. 33-38, 1996, ACM / IEEE Computer Society, 0-8186-7563-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
layout-driven register-transfer-level, binding techniques, chip level implementation, high level synthesis, high-level synthesis, design process |
27 | Shigetoshi Nakatake, Kunihiro Fujiyoshi, Hiroshi Murata, Yoji Kajitani |
Module placement on BSG-structure and IC layout applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 484-491, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
rectilinear chip, L-shaped module, module placement, IC layout |
27 | Philippe Lefèvre, François Reynaud |
ODIL: an SGML description language of the layout structure of documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume I, pp. 480-488, 1995, IEEE Computer Society, 0-8186-7128-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
SGML description language, ODIL, coding format, document recognition prototype, Office Document Image description Language, logical structure recognition, RAINBOW transit DTD, layout structure, up-conversion, image segmentation, segmentation, OCR, document image processing, SGML, document recognition, ODL, page description languages |
27 | Lawrence O'Gorman |
The Document Spectrum for Page Layout Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 15(11), pp. 1162-1173, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
document spectrum, nearest-neighbor clustering, docstrum, structural page layout analysis, within-line spacings, between-line spacings, text spacings, image segmentation, document image processing, document image processing, skew, bottom-up method |
27 | Michael Formann, Frank Wagner 0001 |
The VLSI layout in various embedding models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WG ![In: Graph-Theoretic Concepts in Computer Science, 16rd International Workshop, WG '90, Berlin, Germany, June 20-22, 1990, Proceedings, pp. 130-139, 1990, Springer, 3-540-53832-1. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
embedding models, Manhattan model, knock-knee model, routing, VLSI, NP-completeness, layout |
27 | Sajal K. Das 0001, Narsingh Deo, Sushil K. Prasad |
Gate Matrix Layout Revisited: Algorithmic Performance and Probabilistic Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSTTCS ![In: Foundations of Software Technology and Theoretical Computer Science, Ninth Conference, Bangalore, India, December 19-21, 1989, Proceedings, pp. 280-290, 1989, Springer, 3-540-52048-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
gate matrix layout, Approximation algorithms, dynamic programming, probabilistic analysis, VLSI circuits |
27 | Stefano Ferilli, Teresa Maria Altomare Basile, Floriana Esposito |
A histogram-based technique for automatic threshold assessment in a run length smoothing-based algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Document Analysis Systems ![In: The Ninth IAPR International Workshop on Document Analysis Systems, DAS 2010, June 9-11, 2010, Boston, Massachusetts, USA, pp. 349-356, 2010, ACM, 978-1-60558-773-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
segmentation, layout analysis |
27 | Stephen P. Kornachuk, Michael C. Smayling |
New strategies for gridded physical design for 32nm technologies and beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 61-62, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm |
27 | Nathan Hurst, Wilmot Li, Kim Marriott |
Review of automatic document formatting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on Document Engineering ![In: Proceedings of the 2009 ACM Symposium on Document Engineering, Munich, Germany, September 16-18, 2009, pp. 99-108, 2009, ACM, 978-1-60558-575-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
optimization techniques, typography, adaptive layout |
27 | Myungsu Choi, Minsu Choi |
Scalability of Globally Asynchronous QCA (Quantum-Dot Cellular Automata) Adder Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 24(1-3), pp. 313-320, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
QCA (quantum-dot cellular automata), Asynchronous architecture, Layout timing problem, Scalability, Robustness |
27 | Christof Lutteroth, Gerald Weber |
End-user GUI customization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHINZ ![In: Proceedings of the 9th ACM SIGCHI New Zealand Chapter's International Conference on Computer-Human Interaction: Design Centered HCI, 2008, Wellington, New Zealand, July 2, 2008, pp. 1-8, 2008, ACM, 978-1-60558-467-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
document orientation, layout manager, GUI, constraint programming, end-user development, WYSIWYG |
27 | Jiaqian Zheng, Junyu Niu |
Unified Mapping of Social Networks into 3D Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMSCCS ![In: Proceeding of the Second International Multi-Symposium of Computer and Computational Sciences (IMSCCS 2007), August 13-15, 2007, The University of Iowa, Iowa City, Iowa, USA, pp. 305-311, 2007, IEEE Computer Society, 0-7695-3039-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
visualization, user interface, social network, layout |
27 | Dirk Beyer 0001 |
Co-change visualization applied to PostgreSQL and ArgoUML: (MSR challenge report). ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSR ![In: Proceedings of the 2006 International Workshop on Mining Software Repositories, MSR 2006, Shanghai, China, May 22-23, 2006, pp. 165-166, 2006, ACM, 1-59593-397-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
force-directed graph layout, software structure analysis, software visualization, software clustering |
27 | Sambuddha Bhattacharya, Nuttorn Jangkrajarng, C.-J. Richard Shi |
Template-driven parasitic-aware optimization of analog integrated circuit layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 644-647, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
analog layout automation, optimization, sensitivity, parasitics |
27 | Oronzo Altamura, Floriana Esposito, Donato Malerba |
Transforming paper documents into XML format with WISDOM++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Document Anal. Recognit. ![In: Int. J. Document Anal. Recognit. 4(1), pp. 2-17, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Induction of decision trees, Transformation into HTML/XML format, Document image analysis, Layout analysis |
27 | Qiao Li, Sung-Mo Kang |
Efficient algorithms for polygon to trapezoid decomposition and trapezoid corner stitching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, Chicago, Illinois, USA, March 2-4, 2000, pp. 183-188, 2000, ACM, 1-58113-251-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
edge pair, non-Manhattan layout extraction, polygon to trapezoid decomposition, scanline algorithm, scanline interval |
27 | Mikio Shinya, Marie-Claire Forgue |
Laying out objects with geometric and physical constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 11(4), pp. 188-201, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Object layout, Geometric and physical constraints, Collision detection |
26 | Sonja Maier, Mark Minas |
Interactive diagram layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Proceedings of the 28th International Conference on Human Factors in Computing Systems, CHI 2010, Extended Abstracts Volume, Atlanta, Georgia, USA, April 10-15, 2010, pp. 4111-4116, 2010, ACM, 978-1-60558-930-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
visual languages, meta models, graph drawing |
26 | Hiroki Sunagawa, Haruhiko Terada, Akira Tsuchiya, Kazutoshi Kobayashi, Hidetoshi Onodera |
Erect of regularity-enhanced layout on printability and circuit performance of standard cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 195-200, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
26 | Helen Balinsky, Jonathan R. Howes, Anthony Wiley |
Aesthetically-driven layout engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on Document Engineering ![In: Proceedings of the 2009 ACM Symposium on Document Engineering, Munich, Germany, September 16-18, 2009, pp. 119-122, 2009, ACM, 978-1-60558-575-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
fixed content, high customization and personalization, non-flow documents, regularity, alignment |
26 | Rafael Castro-López, Oscar Guerra, Elisenda Roca, Francisco V. Fernández 0001 |
An Integrated Layout-Synthesis Approach for Analog ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(7), pp. 1179-1189, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Chris Muelder, Kwan-Liu Ma |
Rapid Graph Layout Using Space Filling Curves. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 14(6), pp. 1301-1308, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Abdul Rahim Ahmad, Otman A. Basir, Khaled Hassanein, Shahid Azam |
An Intelligent Expert Systems' Approach to Layout Decision Analysis and Design under Uncertainty. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Decision Making: An AI-Based Approach ![In: Intelligent Decision Making: An AI-Based Approach, pp. 321-364, 2008, Springer, 978-3-540-76828-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Adrian Kuhn, Peter Loretan, Oscar Nierstrasz |
Consistent Layout for Thematic Software Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCRE ![In: WCRE 2008, Proceedings of the 15th Working Conference on Reverse Engineering, Antwerp, Belgium, October 15-18, 2008, pp. 209-218, 2008, IEEE Computer Society, 978-0-7695-3429-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Faisal Shafait, Joost van Beusekom, Daniel Keysers, Thomas M. Breuel |
Background variability modeling for statistical layout analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR ![In: 19th International Conference on Pattern Recognition (ICPR 2008), December 8-11, 2008, Tampa, Florida, USA, pp. 1-4, 2008, IEEE Computer Society, 978-1-4244-2175-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Hidehiko Okada, Taiki Miura |
Detection of Layout-Purpose TABLE Tags Based on Machine Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (7) ![In: Universal Access in Human-Computer Interaction. Applications and Services, 4th International Conference on Universal Access in Human-Computer Interaction, UAHCI 2007 Held as Part of HCI International 2007 Beijing, China, July 22-27, 2007 Proceedings, Part III, pp. 116-123, 2007, Springer, 978-3-540-73282-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
automated checking, tags, machine learning, Web accessibility, ID3
26 | Easwaran Raman, Robert Hundt, Sandya Mannarswamy |
Structure Layout Optimization for Multithreaded Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fifth International Symposium on Code Generation and Optimization (CGO 2007), 11-14 March 2007, San Jose, California, USA, pp. 271-282, 2007, IEEE Computer Society, 978-0-7695-2764-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Ruhul A. Sarker, Tapabrata Ray, José Barahona da Fonseca |
An evolutionary algorithm for machine layout and job assignment problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Congress on Evolutionary Computation ![In: Proceedings of the IEEE Congress on Evolutionary Computation, CEC 2007, 25-28 September 2007, Singapore, pp. 3991-3997, 2007, IEEE, 978-1-4244-1339-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Xiaoping Tang, Xin Yuan, Michael S. Gray |
Practical method for obtaining a feasible integer solution in hierarchical layout optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 99-104, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Jusub Kim, Joseph F. JáJá |
Component-based Data Layout for Efficient Slicing of Very Large Multidimensional Volumetric Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSDBM ![In: 19th International Conference on Scientific and Statistical Database Management, SSDBM 2007, 9-11 July 2007, Banff, Canada, Proceedings, pp. 8, 2007, IEEE Computer Society, 0-7695-2868-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Min-Chun Tsai, Daniel Zhang, Zongwu Tang |
Modeling Litho-Constrained Design Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 354-357, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada |
Timing-driven cell layout de-compaction for yield optimization by critical area minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 884-889, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Daniel Lewis, Steve Haroz, Kwan-Liu Ma |
Layout of Multiple Views for Volume Visualization: A User Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (2) ![In: Advances in Visual Computing, Second International Symposium, ISVC 2006 Lake Tahoe, NV, USA, November 6-8, 2006. Proceedings, Part II, pp. 215-226, 2006, Springer. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Joost van Beusekom, Daniel Keysers, Faisal Shafait, Thomas M. Breuel |
Distance Measures for Layout-Based Document Image Retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIAL ![In: Second International Workshop on Document Image Analysis for Libraries (DIAL 2006), 27-28 April 2006, Lyon, France, pp. 232-242, 2006, IEEE Computer Society, 0-7695-2531-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
Displaying result #201 - #300 of 11076 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|
|