The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Carl De Ranter, Geert Van der Plas, Michiel Steyaert, Georges G. E. Gielen, Willy M. C. Sansen CYCLONE: automated design and layout of RF LC-oscillators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Lih-Yang Wang, Yen-Tai Lai Graph-theory-based simplex algorithm for VLSI layout spacingproblems with multiple variable constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Andrew B. Kahng, Shailesh Vaya, Alexander Zelikovsky New graph bipartizations for double-exposure, bright field alternating phase-shift mask layout. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Alex Ramírez, Luiz André Barroso, Kourosh Gharachorloo, Robert S. Cohn, Josep Lluís Larriba-Pey, P. Geoffrey Lowney, Mateo Valero Code layout optimizations for transaction processing workloads. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Tomoyuki Uchida, Yuko Itokawa, Takayoshi Shoudai, Tetsuhiro Miyahara, Yasuaki Nakamura A New Framework for Discovering Knowledge from Two-Dimensional Structured Data Using Layout Formal Graph System. Search on Bibsonomy ALT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Youcef Bourai, C.-J. Richard Shi Symmetry Detection for Automatic Analog-Layout Recycling. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Akira Nagao, Isao Shirakawa, Takashi Kambe A layout approach to monolithic microwave IC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Akira Nagao, Takashi Kambe, Isao Shirakawa A layout approach to monolithic microwave IC. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Fenghao Mu, Christer Svensson Efficient High-Speed CMOS Design by Layout Based Schematic Method. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Claudio Lourenço da Silva, Ana Cristina Bicharra Garcia SpADD: An Active Design Documentation Framework Extension Applied to Spatial Layout Design Problems. Search on Bibsonomy IBERAMIA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Glenn Franck, Monica Sardesai, Colin Ware Layout and structuring object oriented software in three dimensions. Search on Bibsonomy CASCON The full citation details ... 1995 DBLP  BibTeX  RDF
30Vijaya Ramachandran On driving many long wires in a VLSI layout. Search on Bibsonomy J. ACM The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
30Kaimin Zhang, Lu Wang 0002, Aimin Pan, Bin Benjamin Zhu Smart caching for web browsers. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF caching, web, javascript, browser, css, cascade style sheet
30Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi Creating an affordable 22nm node using design-lithography co-optimization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design technology co-optimization, templates, DFM, regular fabric
30Tim Dwyer, Kim Marriott, Michael Wybrow Dunnart: A Constraint-Based Network Diagram Authoring Tool. Search on Bibsonomy GD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Hailong Yao, Subarna Sinha, Charles C. Chiang, Xianlong Hong, Yici Cai Efficient process-hotspot detection using range pattern matching. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Charles C. Chiang, Andrew B. Kahng, Subarna Sinha, Xu Xu 0001, Alexander Zelikovsky Bright-Field AAPSM Conflict Detection and Correction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30H. Chang, Eugene Shragowitz, Jian Liu, Habib Youssef, Bing Lu, Suphachai Sutanthavibul Net criticality revisited: an effective method to improve timing in physical design. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF criticality metrics, net delay bound, routing, placement
30Mahmut T. Kandemir, Prithviraj Banerjee, Alok N. Choudhary, J. Ramanujam, Eduard Ayguadé Static and Dynamic Locality Optimizations Using Integer Linear Programming. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cache miss estimation, compiler optimizations, integer linear programming, Data reuse, cache locality, memory layouts
30Andrew B. Kahng, Gabriel Robins, Anish Singh, Huijuan Wang, Alexander Zelikovsky Filling and slotting: analysis and algorithms. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Zinaida V. Apanovich, Alexander G. Marchuk Top-Down Approach to Technology Migration for Full-Custom Mask Layouts. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Technology migration, decomposition, compaction, rerouting
30Jon A. Solworth GENERIC: a silicon compiler support language. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
30José Monteiro da Mata ALLENDE: a procedural language for the hierarchical specification of VLSI layouts. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
29Vida Dujmovic, David R. Wood Upward Three-Dimensional Grid Drawings of Graphs. Search on Bibsonomy Order The full citation details ... 2006 DBLP  DOI  BibTeX  RDF grid drawing, three dimensional graph drawing, upward drawing, track layout, upward track layout, upward queue layout, strong star colouring, harmonious colouring, graph drawing
29Si-Qing Zheng, Joon Shik Lim, S. Sitharama Iyengar Routing using implicit connection graphs [VLSI design. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF implicit connection graphs, shortest path related problems, minimum spanning tree problem, sparse strong connection graph, large VLSI design applications, VLSI, graph theory, search problems, circuit layout CAD, VLSI layout, integrated circuit layout, obstacles, search behavior
29Hannah Honghua Yang, D. F. Wong 0001 New algorithms for min-cut replication in partitioned circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hyper-MAMC, VLSI circuit partitioning, k-way partition, k-way partitioned digraph, min-cut replication, partitioned circuits, VLSI, optimal algorithm, circuit layout CAD, hypergraphs, VLSI layout, digraphs, circuit layout
29Srinivasa R. Danda, Sreekrishna Madhwapathy, Naveed A. Sherwani, Aman Sureka OPRON: a new approach to planar OTC routing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF OPRON, planar OTC routing, planar over-the-cell routing, VLSI, dynamic programming, network routing, circuit layout CAD, VLSI layout, integrated circuit layout, dynamic programming algorithm
29J. T. Mowchenko, Y. Yang Optimizing wiring space in slicing floorplans. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF wiring space optimisation, slicing floorplans, net density, sibling rectangles, circuit modules, routed layouts, VLSI, heuristic, network routing, circuit layout CAD, circuit optimisation, integrated circuit layout, branch and bound algorithm, wiring, IC layout
29Rajat Kumar Pal, A. K. Datta, Sudebkumar Prasant Pal, M. M. Das, Ajit Pal A general graph theoretic framework for multi-layer channel routing. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF graph theoretic framework, multilayer channel routing, track assignment, total wire length minimisation, two-layer VH routing model, three-layer HVH routing model, VLSI, graph theory, heuristics, network routing, circuit layout CAD, minimisation, VLSI layout, integrated circuit layout
29Rajat Kumar Pal, Sudebkumar Prasant Pal, M. M. Das, Ajit Pal Computing area and wire length efficient routes for channels. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF area efficient routes, wire length efficient routes, total wire length reduction, multilayer routing solutions, computational complexity, VLSI, NP-hard, polynomial time algorithms, network routing, circuit layout CAD, minimisation, VLSI layout, integrated circuit layout, channel routing
29Evan Schrier, Mira Dontcheva, Charles E. Jacobs, Geraldine Wade, David Salesin Adaptive layout for dynamically aggregated documents. Search on Bibsonomy IUI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF grid-based layout, XML, constraints, PDF, CSS, XSL, adaptive layout
29Martin L. Brady, Majid Sarrafzadeh Stretching a Knock-Knee Layout for Multilayer Wiring. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF knock-knee layout stretching, multilayer wiring, knock-knee mode, 4/3 approximation algorithm, VLSI, VLSI, NP-complete, optimal algorithm, circuit layout CAD
29Ghislaine Thuau, Gabriele Saucier Optimized Layout of MOS Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF optimised MOS cell layout, optimized topological arrangements, minimized Boolean function, well-structured network, transistor merging procedure, nonseries-parallel network, logic design, circuit layout CAD, minimisation of switching nets, logical optimization, field effect integrated circuits
28Anirudh Devgan, Bulent Basaran, David Colleran, Mar Hershenson Accelerated design of analog, mixed-signal circuits in Titan. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF analog circuit layout, analog/digital, custom design, layout, physical design, analog circuits, mixed-signal circuits
28Sherif Hammouda, Hazem Said, Mohamed Dessouky, Mohamed Tawfik, Quang Nguyen, Wael M. Badawy, Hazem M. Abbas, Hussein I. Shahein Chameleon ART: a non-optimization based analog design migration framework. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog reuse, design extraction, layout compaction, layout retargeting, circuit sizing
28Juan A. Montiel-Nelson, V. de Armas, Roberto Sarmiento, Antonio Núñez A Cell and Macrocell Compiler for GaAs VLSI Full-Custom Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Gallium Arsenide automated layout generation system, GaAs VLSI design, power supply and ground distribution model, full-custom cell layout style, full-custom layouts of very high speed circuits, cell library builder, random logic macrocell generator, iterative logic array generator
28Gerard A. Allan, Anthony J. Walton Efficient critical area estimation for arbitrary defect shapes. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF critical area estimation, arbitrary defect shapes, circular defects, elliptical defects, rod shaped defects, arbitrary shaped defects, Edinburgh Yield Estimator, Cadence layout editor, EYE-sampling tool, EYE, EYES, integrated circuit yield, IC layout
28Debashish Niyogi, Sargur N. Srihari Knowledge-based derivation of document logical structure. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF document logical structure, spatial domain knowledge, document logical structure derivation, rule-based control strategy, multi-level knowledge base, document layout rules, DeLoS, block classification, read-ordering operations, document image data, incremental inferences, knowledge based systems, knowledge-based, grouping, inferences, document image processing, inference mechanisms, document image, document layout, symbolic description
28Nian-Feng Tzeng, Po-Jen Chuang A Pairwise Substitutional Fault Tolerance Technique for the Cube-Connected Cycles Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cube-connected cycles architecture, CCC, fault-tolerant CCC structure, fault-tolerantstructure, fault tolerance, VLSI, fault tolerant computing, reconfiguration, multiprocessor interconnection networks, VLSI layout, reliability analysis, performance degradation, layout area
27Zhenjiang Wang, Chenggang Wu 0002, Pen-Chung Yew On improving heap memory layout by dynamic pool allocation. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF adaptive partial call chain, pool allocation, dynamic optimization, data layout
27Yu Zheng 0001, Ming C. Lin, Dinesh Manocha Efficient simplex computation for fixture layout design. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2010 DBLP  DOI  BibTeX  RDF layout design, randomized algorithms, simplex, fixture
27Hadi Panahi, Masoud Rabbani, Reza Tavakkoli-Moghaddam A Comparison of Three Meta-heuristics for a Closed-Loop Layout Problem with Unequal-Sized Facilities. Search on Bibsonomy New Challenges in Applied Intelligence Technologies The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Closed-loop layout problem, unequal-sized facilities, Genetic algorithm, Simulated annealing, Ant colony optimization
27Holger Eichelberger Automatic layout of UML use case diagrams. Search on Bibsonomy SOFTVIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic layout algorithms, drawing rules, UML, model-driven development, use case diagrams
27Cristiano Lazzari, Ricardo A. L. Reis, Lorena Anghel A Case Study on Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Automatic layout generation, Transient fault injection, Phase-locked loop
27Yaniv Frishman, Ayellet Tal Multi-Level Graph Layout on the GPU. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GPU, graph partitioning, Graph layout
27Jean-Yves Ramel, S. Leriche, Marie-Luce Demonet, S. Busson User-driven page layout analysis of historical printed books. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Page layout analysis, Analysis strategy, Zone classification, Digital libraries, Segmentation
27Wei Lai, Xiaodi Huang, Quang Vinh Nguyen, Mao Lin Huang Applying Graph Layout Techniques to Web Information Visualization and Navigation. Search on Bibsonomy CGIV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Graph layout techniques, Visual map, Web information, Navigation, Web browser, Web graph
27Gen Hattori, Keiichiro Hoashi, Kazunori Matsumoto, Fumiaki Sugaya Robust web page segmentation for mobile terminal using content-distances and page layout information. Search on Bibsonomy WWW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF content-distance, web page layout, segmentation, mobile phone, web page
27Berna Haktanirlar Ulutas, A. Attila Islier Parameter Setting for Clonal Selection Algorithm in Facility Layout Problems. Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Facility layout problem, artificial immune system, design of experiments, clonal selection algorithm, CRAFT
27Di Wen 0001, Xiaoqing Ding Visual Similarity Based Document Layout Analysis. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF texture analysis, dynamic clustering, document layout analysis
27Karl-Michael Schneider Information extraction from calls for papers with conditional random fields and layout features. Search on Bibsonomy Artif. Intell. Rev. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Layout features, Information extraction, Conditional random fields
27Oliver Pell Verification of FPGA Layout Generators in Higher-Order Logic. Search on Bibsonomy J. Autom. Reason. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF layout description, circuit verification, FPGA, theorem proving
27Jürgen Wolff von Gudenberg, A. Niederle, Marc Ebner, Holger Eichelberger Evolutionary layout of UML class diagrams. Search on Bibsonomy SOFTVIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF layout metrics, UML, evolutionary algorithms, graph drawing, UML class diagrams
27Wai Leng Lee, Mark Green 0001 Automatic layout for 3D user interfaces construction. Search on Bibsonomy VRCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF layout technique, 3D user interface
27Hiroshi Hosobe Solving linear and one-way constraints for web document layout. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cassowary, constraints, web browsers, simplex method, document layout
27Dabo Sun, Kenny Wong On Evaluating the Layout of UML Class Diagrams for Program Comprehension. Search on Bibsonomy IWPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF perceptual theory, UML modeling tools, aesthetics, graph layout, UML class diagrams
27Wai Leng Lee, Mark Green 0001 A layout framework for 3D user interfaces. Search on Bibsonomy VRST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout techniques, 3D user interface
27Chris Lattner, Vikram S. Adve Automatic pool allocation: improving performance by controlling data structure layout in the heap. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF pool allocation, cache, static analysis, data layout, recursive data structure
27Seung Woo Son 0001, Guangyu Chen, Mahmut T. Kandemir Disk layout optimization for reducing energy consumption. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, optimizing compiler, disk layout
27Jonathan M. Stott, Peter Rodgers 0001 Metro Map Layout Using Multicriteria Optimization. Search on Bibsonomy IV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF metro map layout problem, public transport schematics, graph drawing, multicriteria optimization
27Yoonseo Choi, Taewhan Kim Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF page/burst modes, embedded system, memory layout, storage assignment
27Herman Schmit, Vikas Chandra FPGA switch block layout and evaluation. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI layout, FPGA interconnect
27Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera Crosstalk noise optimization by post-layout transistor sizing. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitive coupling noise, post-layout optimization, gate sizing, transistor sizing, crosstalk noise
27Supratik Chakraborty, Rajeev Murgai Layout-Driven Timing Optimization by Generalized De Morgan Transform. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout-driven optimization, in-place circuit optimization, DeMorgan transformation, deep sub-micron design, Timing optimization, timing closure
27Junhyung Um, Taewhan Kim Layout-aware synthesis of arithmetic circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout, high performance, carry-save-adder
27Katsuyoshi Miura, Koji Nakamae, Hiromu Fujioka Hierarchical VLSI Fault Tracing by Successive Circuit Extraction from CAD Layout Data in the CAD-Linked EB Test System. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hierarchical fault tracing, electron beam testing, hierarchically structured CAD layout, successive circuit extraction
27Min Xu, Fadi J. Kurdahi Layout-Driven RTL Binding Techniques for High-Level Synthesis. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF layout-driven register-transfer-level, binding techniques, chip level implementation, high level synthesis, high-level synthesis, design process
27Shigetoshi Nakatake, Kunihiro Fujiyoshi, Hiroshi Murata, Yoji Kajitani Module placement on BSG-structure and IC layout applications. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF rectilinear chip, L-shaped module, module placement, IC layout
27Philippe Lefèvre, François Reynaud ODIL: an SGML description language of the layout structure of documents. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SGML description language, ODIL, coding format, document recognition prototype, Office Document Image description Language, logical structure recognition, RAINBOW transit DTD, layout structure, up-conversion, image segmentation, segmentation, OCR, document image processing, SGML, document recognition, ODL, page description languages
27Lawrence O'Gorman The Document Spectrum for Page Layout Analysis. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF document spectrum, nearest-neighbor clustering, docstrum, structural page layout analysis, within-line spacings, between-line spacings, text spacings, image segmentation, document image processing, document image processing, skew, bottom-up method
27Michael Formann, Frank Wagner 0001 The VLSI layout in various embedding models. Search on Bibsonomy WG The full citation details ... 1990 DBLP  DOI  BibTeX  RDF embedding models, Manhattan model, knock-knee model, routing, VLSI, NP-completeness, layout
27Sajal K. Das 0001, Narsingh Deo, Sushil K. Prasad Gate Matrix Layout Revisited: Algorithmic Performance and Probabilistic Analysis. Search on Bibsonomy FSTTCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF gate matrix layout, Approximation algorithms, dynamic programming, probabilistic analysis, VLSI circuits
27Stefano Ferilli, Teresa Maria Altomare Basile, Floriana Esposito A histogram-based technique for automatic threshold assessment in a run length smoothing-based algorithm. Search on Bibsonomy Document Analysis Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF segmentation, layout analysis
27Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
27Nathan Hurst, Wilmot Li, Kim Marriott Review of automatic document formatting. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization techniques, typography, adaptive layout
27Myungsu Choi, Minsu Choi Scalability of Globally Asynchronous QCA (Quantum-Dot Cellular Automata) Adder Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QCA (quantum-dot cellular automata), Asynchronous architecture, Layout timing problem, Scalability, Robustness
27Christof Lutteroth, Gerald Weber End-user GUI customization. Search on Bibsonomy CHINZ The full citation details ... 2008 DBLP  DOI  BibTeX  RDF document orientation, layout manager, GUI, constraint programming, end-user development, WYSIWYG
27Jiaqian Zheng, Junyu Niu Unified Mapping of Social Networks into 3D Space. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF visualization, user interface, social network, layout
27Dirk Beyer 0001 Co-change visualization applied to PostgreSQL and ArgoUML: (MSR challenge report). Search on Bibsonomy MSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF force-directed graph layout, software structure analysis, software visualization, software clustering
27Sambuddha Bhattacharya, Nuttorn Jangkrajarng, C.-J. Richard Shi Template-driven parasitic-aware optimization of analog integrated circuit layouts. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog layout automation, optimization, sensitivity, parasitics
27Oronzo Altamura, Floriana Esposito, Donato Malerba Transforming paper documents into XML format with WISDOM++. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Induction of decision trees, Transformation into HTML/XML format, Document image analysis, Layout analysis
27Qiao Li, Sung-Mo Kang Efficient algorithms for polygon to trapezoid decomposition and trapezoid corner stitching. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF edge pair, non-Manhattan layout extraction, polygon to trapezoid decomposition, scanline algorithm, scanline interval
27Mikio Shinya, Marie-Claire Forgue Laying out objects with geometric and physical constraints. Search on Bibsonomy Vis. Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Object layout, Geometric and physical constraints, Collision detection
26Sonja Maier, Mark Minas Interactive diagram layout. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF visual languages, meta models, graph drawing
26Hiroki Sunagawa, Haruhiko Terada, Akira Tsuchiya, Kazutoshi Kobayashi, Hidetoshi Onodera Erect of regularity-enhanced layout on printability and circuit performance of standard cells. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Helen Balinsky, Jonathan R. Howes, Anthony Wiley Aesthetically-driven layout engine. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fixed content, high customization and personalization, non-flow documents, regularity, alignment
26Rafael Castro-López, Oscar Guerra, Elisenda Roca, Francisco V. Fernández 0001 An Integrated Layout-Synthesis Approach for Analog ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Chris Muelder, Kwan-Liu Ma Rapid Graph Layout Using Space Filling Curves. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Abdul Rahim Ahmad, Otman A. Basir, Khaled Hassanein, Shahid Azam An Intelligent Expert Systems' Approach to Layout Decision Analysis and Design under Uncertainty. Search on Bibsonomy Intelligent Decision Making: An AI-Based Approach The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Adrian Kuhn, Peter Loretan, Oscar Nierstrasz Consistent Layout for Thematic Software Maps. Search on Bibsonomy WCRE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Faisal Shafait, Joost van Beusekom, Daniel Keysers, Thomas M. Breuel Background variability modeling for statistical layout analysis. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Hidehiko Okada, Taiki Miura Detection of Layout-Purpose TABLE Tags Based on Machine Learning. Search on Bibsonomy HCI (7) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF automated checking, tags, machine learning, Web accessibility, ID3
26Easwaran Raman, Robert Hundt, Sandya Mannarswamy Structure Layout Optimization for Multithreaded Programs. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ruhul A. Sarker, Tapabrata Ray, José Barahona da Fonseca An evolutionary algorithm for machine layout and job assignment problems. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Xiaoping Tang, Xin Yuan, Michael S. Gray Practical method for obtaining a feasible integer solution in hierarchical layout optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jusub Kim, Joseph F. JáJá Component-based Data Layout for Efficient Slicing of Very Large Multidimensional Volumetric Data. Search on Bibsonomy SSDBM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Min-Chun Tsai, Daniel Zhang, Zongwu Tang Modeling Litho-Constrained Design Layout. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Timing-driven cell layout de-compaction for yield optimization by critical area minimization. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Daniel Lewis, Steve Haroz, Kwan-Liu Ma Layout of Multiple Views for Volume Visualization: A User Study. Search on Bibsonomy ISVC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Joost van Beusekom, Daniel Keysers, Faisal Shafait, Thomas M. Breuel Distance Measures for Layout-Based Document Image Retrieval. Search on Bibsonomy DIAL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license