The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for skew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1980 (15) 1982-1987 (18) 1988-1989 (15) 1990 (20) 1991-1992 (30) 1993 (35) 1994 (35) 1995 (48) 1996 (48) 1997 (62) 1998 (42) 1999 (79) 2000 (61) 2001 (62) 2002 (75) 2003 (89) 2004 (125) 2005 (132) 2006 (146) 2007 (133) 2008 (170) 2009 (127) 2010 (117) 2011 (108) 2012 (92) 2013 (115) 2014 (133) 2015 (150) 2016 (121) 2017 (124) 2018 (128) 2019 (139) 2020 (160) 2021 (139) 2022 (148) 2023 (111) 2024 (31)
Publication types (Num. hits)
article(1800) incollection(24) inproceedings(1552) phdthesis(7)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1267 occurrences of 744 keywords

Results
Found 3383 publication records. Showing 3383 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Bo Yuan, Chew Lim Tan Skewscope: The Textual Document Skew Detector. Search on Bibsonomy ICDAR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Sungwook Kim, Gerald E. Sobelman Efficient digit-serial FIR filters with skew-tolerant domino. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Seng-Pan U., Rui Paulo Martins, José E. Franca Design and analysis of low timing-skew clock generation for time-interleaved sampled-data systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Roy Mader, Eby G. Friedman, Ami Litman, Ivan S. Kourtev Large scale clock skew scheduling techniques for improved reliability of digital synchronous VLSI circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Bernhard Beckermann, Howard Cheng, George Labahn Fraction-free row reduction of matrices of skew polynomials. Search on Bibsonomy ISSAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Nikos Liolios, Nikos Fakotakis, George K. Kokkinakis Improved document skew detection based on text line connected-component clustering. Search on Bibsonomy ICIP (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Holger Märtens A Classification of Skew Effects in Parallel Database Systems. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Seong-Ook Jung, Seung-Moon Yoo, Ki-Wook Kim, Sung-Mo Kang Skew-tolerant high-speed (STHS) domino logic. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Ming Chen, Xiaoqing Ding A Robust Skew Detection Algorithm for Grayscale Document Image. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Kai Zhu 0001, Martin D. F. Wong Clock skew minimization during FPGA placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
33M. Mehdi Haji, Tien D. Bui, Ching Y. Suen Simultaneous Document Margin Removal and Skew Correction Based on Corner Detection in Projection Profiles. Search on Bibsonomy ICIAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Document margin, corner detection, layout analysis, skew correction, projection profile
33Sari Onaissi, Khaled R. Heloue, Farid N. Najm Clock skew optimization via wiresizing for timing sign-off covering all process corners. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock skew optimization, parameterized timing analysis, sign-off, wiresizing, variability
33Georg Regensburger, Markus Rosenkranz, Johannes Middeke A skew polynomial approach to integro-differential operators. Search on Bibsonomy ISSAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF baxter algebra, integro-differential algebra, integro-differential operators, skew polynomials, weyl algebra
33Satish Sivaswamy, Kia Bazargan Statistical Analysis and Process Variation-Aware Routing and Skew Assignment for FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF skew assignment, routing, Statistical timing analysis
33Dongxiu Xie, Ningjun Huang, Qin Zhang An inverse eigenvalue problem and a matrix approximation problem for symmetric skew-hamiltonian matrices. Search on Bibsonomy Numer. Algorithms The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Symmetric skew-Hamiltonian matrices, Inverse eigenvalue problem, Matrix norm, The best approximation
33Robert Veroff, Matthew Spinks Axiomatizing the Skew Boolean Propositional Calculus. Search on Bibsonomy J. Autom. Reason. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF skew Boolean propositional calculus (SBPC), proof sketches, axiomatization, automated deduction
33Steven J. Murdoch Hot or not: revealing hidden services by their clock skew. Search on Bibsonomy CCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF anonymity, fingerprinting, temperature, covert channels, clock skew, mix networks, Tor
33Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja Yield-Driven, False-Path-Aware Clock Skew Scheduling. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance-related circuit yield loss, circuit-level parameters, DFM, clock skew scheduling
33Bruno Tenório Ávila, Rafael Dueire Lins A fast orientation and skew detection algorithm for monochromatic document images. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2005 DBLP  DOI  BibTeX  RDF monochromatic document image, orientation and skew detection
33Stefan Berlik Directed Mutation by Means of the Skew-Normal Distribution. Search on Bibsonomy Fuzzy Days The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Skew-normal distribution, evolutionary algorithm, mutation operator, directed mutation
33Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Quadratic deferred-merge embedding algorithm for zero skew clock distribution network. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, clock distribution network, zero skew
33Jinwang Liu, Zhuojun Liu, Xiaoqi Liu, Mingsheng Wang The membership problem for ideals of binomial skew polynomial rings. Search on Bibsonomy ISSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Gröbner basis, binomial skew polynomial ring, two-sided ideal
33Temple H. Fay, Stephan V. Joubert Isolated Submodules and Skew Fields. Search on Bibsonomy Appl. Categorical Struct. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF honest, relatively divisible, skew field, isolated
33Tal Steinherz, Nathan Intrator, Ehud Rivlin Skew Detection via Principal Components Analysis. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Document Analysis, Skew Detection, Principal Components
30Ying-Yu Chen, Chen Dong 0003, Deming Chen Clock tree synthesis under aggressive buffer insertion. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF slew, buffer insertion, buffer sizing, clock tree, maze routing
30Nitthita Chirdchoo, Wee-Seng Soh, Kee Chaing Chua MU-Sync: a time synchronization protocol for underwater mobile networks. Search on Bibsonomy Underwater Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high latency networks, sensor networks, clock synchronization, underwater acoustic sensor networks
30Chunchen Liu, Ruei-Xi Chen, Jichang Tan, Sharon Fan, Jeffrey Fan, Kia Makki Thermal aware clock synthesis considering stochastic variation and correlations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Jeff Mueller, Resve A. Saleh A Tunable Clock Buffer for Intra-die PVT Compensation in Single-Edge Clock (SEC) Distribution Networks. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Anand Rajaram, Raguram Damodaran, Arjun Rajagopal Practical Clock Tree Robustness Signoff Metrics. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Anand Rajaram, David Z. Pan Robust chip-level clock tree synthesis for SOC designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip-level CTS, physical design, clock network
30Zhuo Li 0001, Nancy Ying Zhou, Weiping Shi Wire Sizing for Non-Tree Topology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Joon-Sung Yang, Anand Rajaram, Ninghy Shi, Jian Chen, David Z. Pan Sensitivity Based Link Insertion for Variation Tolerant Clock Network Synthesis. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Thomas Locher, Roger Wattenhofer Oblivious Gradient Clock Synchronization. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Distributed algorithms, synchronization protocols, asynchronous computation
30Hyo Jung Song, Andrew A. Chien Feedback-Based Synchronization in System Area Networks for Cluster Computing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF link level flow control, Synchronization, cluster computing, system area networks
30Dirk Woitha, Dietmar Janich Error Tolerant Color Deskew. Search on Bibsonomy Reading and Learning The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Timing constraints for domino logic gates with timing-dependent keepers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Bing Lu, Jiang Hu, Gary Ellis, Haihua Su Process variation aware clock tree routing. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI, interconnect, physical design, clock tree synthesis
30Kazuhide Sugawara Weighted Hough Transform on a Gridded Image Plane Kazuhide Sugawara. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Kunihiro Fujiyoshi, Yoji Kajitani, Hiroshi Niitsu The Totally-Perfect Bipartite Graph and Its Construction. Search on Bibsonomy ISAAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Mohamad Al Hajj Hassan, Mostafa Bamha Semi-join computation on distributed file systems using map-reduce-merge model. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF map-reduce-merge model, semi-join operations, distributed file systems, data skew
29Atanu Chattopadhyay, Zeljko Zilic Serial reconfigurable mismatch-tolerant clock distribution. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, clock skew, clock networks
29N. Pete Sedcole, Justin S. J. Wong, Peter Y. K. Cheung Measuring and modeling FPGA clock variability. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF within-die variability, modeling, FPGA, process variation, clock skew
29Benjamin R. Hamilton, Xiaoli Ma, Qi Zhao 0006, Jun (Jim) Xu ACES: adaptive clock estimation and synchronization using Kalman filtering. Search on Bibsonomy MobiCom The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clock offset, resource-constrained network, Kalman filter, clock synchronization, clock skew
29Gustavo Wilke, Renan Fonseca, Cecilia Mezzomo, Ricardo Reis 0001 A novel scheme to reduce short-circuit power in mesh-based clock architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clock mesh, power, clock skew, short-circuit
29Lin Xie, Azadeh Davoodi Fast and Accurate Statistical Static Timing Analysis with Skewed Process Parameter Variation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Skew-Normal, process variation, Gaussian, statistical static timing analysis
29Shahin Nazarian, Massoud Pedram, Emre Tuncer An empirical study of crosstalk in VDSM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG) tool, crosstalk induced slowdown and speedup, transition time, static timing analysis (STA), skew
29Sung-Kun Jang, Jung-Hwan Shin, Hyun-Hwa Oh, Seung Ick Jang, Sung-Il Chien Robust Character Segmentation System for Korean Printed Postal Images. Search on Bibsonomy IEA/AIE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Postal automation system, character components, character segmentation, skew correction
29Mehrdad Nourani, Amir Attarha Signal Integrity: Fault Modeling and Testing in High-Speed SoCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrity fault, integrity loss, transfer function matrix, built-in self-test, system-on-chip, test pattern generation, signal integrity, model order reduction, interconnect testing, skew detection, noise detection, high-speed interconnect
29Kumar N. Lalgudi, Marios C. Papaefthymiou Efficient retiming under a general delay model. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF retiming algorithm, general delay model, edge-triggered circuits, load-dependent gate delays, register delays, integer linear programming constraints, integer phonotonic programming formulation, linear programming, delays, timing, integer programming, logic design, logic design, logic circuits, clock skew, propagation delays, interconnect delays
29Daniel X. Le, George R. Thoma, Harry Wechsler Document image analysis using integrated image and neural processing. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF page orientation, degree of skew, binary document images, training times, integrated document analysis system, textual block classification, classification, radial basis functions, image classification, neural nets, document image processing, document image analysis, memory requirements, neural network models, classification accuracy, robust algorithms
29Lawrence O'Gorman The Document Spectrum for Page Layout Analysis. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF document spectrum, nearest-neighbor clustering, docstrum, structural page layout analysis, within-line spacings, between-line spacings, text spacings, image segmentation, document image processing, document image processing, skew, bottom-up method
28Dengfeng Gao, Christian S. Jensen, Richard T. Snodgrass, Michael D. Soo Join operations in temporal databases. Search on Bibsonomy VLDB J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Attribute skew, Interval join, Partition join, Sort-merge join, Temporal Cartesian product, Temporal join, Timestamp skew
27Lionel Chaussade, Pierre Loidreau, Felix Ulmer Skew codes of prescribed distance or rank. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mathematics Subject Classifications (2000) 94B60, 12H10, 16S36
27Mondelle Simeon, Robert J. Hilderman An Empirical Study of Category Skew on Feature Selection for Text Categorization. Search on Bibsonomy Canadian AI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Chun-Yu Chuang, Wai-Kei Mak Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Kan Takeuchi, Atsushi Yoshikawa 0003, Michio Komoda, Ken Kotani, Hiroaki Matsushita, Yusaku Katsuki, YuyoYamamoto, Takao Sato Clock-Skew Test Module for Exploring Reliable Clock-Distribution Under Process and Global Voltage-Temperature Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ilkay Sari, Erchin Serpedin, Kyoung-Lae Noh, Qasim M. Chaudhari, Bruce W. Suter On the Joint Synchronization of Clock Offset and Skew in RBS-Protocol. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Christoph Lenzen 0001, Thomas Locher, Roger Wattenhofer Clock Synchronization with Bounded Global and Local Skew. Search on Bibsonomy FOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Angélica A. Mascaro, George D. C. Cavalcanti Estimating the Skew Angle of Scanned Document through Background Area Information. Search on Bibsonomy SIBGRAPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Manar El-Chammas, Boris Murmann General analysis on the impact of phase-skew in time-interleaved ADCs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Yumi Sakemi, Yasuyuki Nogami, Katsuyuki Okeya, Hidehiro Katou, Yoshitaka Morikawa Skew Frobenius Map and Efficient Scalar Multiplication for Pairing-Based Cryptography. Search on Bibsonomy CANS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jeff Mueller, Resve A. Saleh Single Edge Clock (SEC) Distribution for Improved Latency, Skew, and Jitter Performance. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Guobiao Weng, Weisheng Qiu, Zeying Wang, Qing Xiang Pseudo-Paley graphs and skew Hadamard difference sets from presemifields. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 17A35, 05B25, AMS Classifications 05B10
27Kyoung-Lae Noh, Qasim M. Chaudhari, Erchin Serpedin, Bruce W. Suter Novel Clock Phase Offset and Skew Estimation Using Two-Way Timing Message Exchanges for Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sajjad Baloch, Hamid Krim Flexible Skew-Symmetric Shape Model for Shape Representation, Classification, and Sampling. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27K. R. Arvind, Jayant Kumar, A. G. Ramakrishnan Entropy Based Skew Correction of Document Images. Search on Bibsonomy PReMI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Min Ni, Seda Ogrenci Memik Early planning for clock skew scheduling during register binding. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Abinash Roy, Noha H. Mahmoud, Masud H. Chowdhury Delay and Clock Skew Variation due to Coupling Capacitance and Inductance. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Yang Liu 0016, Tong Zhang 0002, Jiang Hu Soft Clock Skew Scheduling for Variation-Tolerant Signal Processing Circuits: A Case Study of Viterbi Decoders. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu Planar-CRX: A Single-Layer Zero Skew Clock Routing in X-Architecture. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Abinash Roy, Noha H. Mahmoud, Masud H. Chowdhury Effects of Coupling Capacitance and Inductance on Delay Uncertainty and Clock Skew. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Amir Egozi, Its'hak Dinstein, J. Chapran, Michael C. Fairhurst An EM Based Algorithm for Skew Detection. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Tong-Hua Su, Tianwen Zhang, Hu-Jie Huang, Yu Zhou Skew Detection for Chinese Handwriting by Horizontal Stroke Histogram. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Goetz Graefe B-tree indexes, interpolation search, and skew. Search on Bibsonomy DaMoN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Seongah Chin, Youngmee Choi, Moonwon Choo A Skew Free Korean Character Recognition System for PDA Devices. Search on Bibsonomy ICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Maxim A. Babenko Acyclic Bidirected and Skew-Symmetric Graphs: Algorithms and Structure. Search on Bibsonomy CSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Tiziano Politi, Alessandro Pugliese On the Solution of Skew-Symmetric Shifted Linear Systems. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Lei Wang 0003, Shuo Wang Adaptive timing for analysis of skew tolerance. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mathias Stäger, Paul Lukowicz, Gerhard Tröster Dealing with Class Skew in Context Recognition. Search on Bibsonomy ICDCS Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Bassel Soudan Reducing Inductive Coupling Skew in Wide Global Signal Busses. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Steve Hung-Lung Tu, Chih-Hung Yen A High-Speed Baugh-Wooley Multiplier Design Using Skew-Tolerant Domino Techniques. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27James F. Geelen, Satoru Iwata 0001 Matroid Matching Via Mixed Skew-Symmetric Matrices. Search on Bibsonomy Comb. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000): 05C70
27Muhammad Sarfraz 0001, Abdelmalek B. C. Zidouri, S. A. Shahab A Novel Approach for Skew Estimation of Document Images in OCR System. Search on Bibsonomy CGIV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Mostafa Bamha An Optimal Skew-insensitive Join and Multi-join Algorithm for Distributed Architectures. Search on Bibsonomy DEXA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chih-Hong Kao, Hon-Son Don Skew Detection of Document Images Using Line Structural Information. Search on Bibsonomy ICITA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Anand Rajaram, David Z. Pan, Jiang Hu Improved algorithms for link-based non-tree clock networks for skew variability reduction. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
27Tim B. Swartz Importance sampling with skew-normal distributions. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  BibTeX  RDF
27Xiaoguang Li, Ge Yu 0001, Daling Wang MMPClust: A Skew Prevention Algorithm for Model-Based Document Clustering. Search on Bibsonomy DASFAA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Anandarup Roy, Tapan Kumar Bhowmik, Swapan K. Parui, Utpal Roy A Novel Approach to Skew Detection and Character Segmentation for Handwritten Bangla Words. Search on Bibsonomy DICTA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jian-xiong Dong, Dominique Ponson, Adam Krzyzak, Ching Y. Suen Cursive word skew/slant corrections based on Radon transform. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Kai Wang 0011, Malgorzata Marek-Sadowska Potential Slack Budgeting with Clock Skew Optimization. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Christos Kravvaritis, E. Lappas, Marilena Mitrouli An Algorithm to Find Values of Minors of Skew Hadamard and Conference Matrices. Search on Bibsonomy NAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Xiaoyan Zhu, Xiaoxin Yin A New Textual/Non-Textual Classifier for Document Skew Correction. Search on Bibsonomy ICPR (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Resve A. Saleh, Syed Zakir Hussain, Steffen Rochel, David Overhauser Clock skew verification in the presence of IR-drop in the powerdistribution network. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Oleg Okun, Matti Pietikäinen Automatic Ground-Truth Generation for Skew-Tolerance Evaluation of Document Layout Analysis Methods. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27M. B. Maaz, Magdy A. Bayoumi A non-zero clock skew scheduling algorithm for high speed clock distribution network. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Xiaoyi Jiang 0001, Horst Bunke, Dubravka Widmer-Kljajo Skew Detection of Document Images by Focused Nearest-Neighbor Clustering. Search on Bibsonomy ICDAR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Moment-sensitivity-based wire sizing for skew reduction in on-chip clock nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 3383 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license