The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGAs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (17) 1993 (24) 1994 (39) 1995 (70) 1996 (91) 1997 (71) 1998 (174) 1999 (146) 2000 (141) 2001 (145) 2002 (189) 2003 (238) 2004 (313) 2005 (324) 2006 (363) 2007 (373) 2008 (466) 2009 (335) 2010 (271) 2011 (275) 2012 (235) 2013 (278) 2014 (297) 2015 (287) 2016 (279) 2017 (270) 2018 (301) 2019 (307) 2020 (269) 2021 (238) 2022 (240) 2023 (265) 2024 (54)
Publication types (Num. hits)
article(1561) book(9) data(1) incollection(32) inproceedings(5688) phdthesis(79) proceedings(15)
Venues (Conferences, Journals, ...)
ReConFig(858) FPL(762) FPGA(529) FCCM(383) CoRR(245) FPT(180) DATE(121) IPDPS(121) IEEE Trans. Very Large Scale I...(119) DAC(114) IEEE Trans. Comput. Aided Des....(114) ACM Trans. Reconfigurable Tech...(107) ARC(96) ICCAD(85) ISCAS(80) DSD(63) More (+10 of total 806)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3198 occurrences of 1135 keywords

Results
Found 7385 publication records. Showing 7385 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Florent Berthelot, Fabienne Nouvel Partial and Dynamic Reconfiguration of FPGAs: a top down design methodology for an automatic implementation. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Tatsuhiro Tachibana, Yoshihiro Murata, Naoki Shibata, Keiichi Yasumoto, Minoru Ito Flexible implementation of genetic algorithms on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mohammed Y. Niamat, Dinesh Nemade, Mohsin M. Jamali Testing embedded RAM modules in SRAM-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Michael J. Beauchamp, Scott Hauck, Keith D. Underwood, K. Scott Hemmert Embedded floating-point units in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPU, FPGA, floating-point, FPGA architecture
21Akhilesh Kumar, Mohab Anis An analytical state dependent leakage power model for FPGAs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Balasubramanian Sethuraman, Ranga Vemuri optiMap: a tool for automated generation of noc architectures using multi-port routers for FPGAs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Lee W. Howes, Paul Price, Oskar Mencer, Olav Beckmann, Oliver Pell Comparing FPGAs to Graphics Accelerators and the Playstation 2 Using a Unified Source Description. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Allen Michalski, Duncan A. Buell A Scalable Architecture for RSA Cryptography on Large FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Manuel Saldaña, Paul Chow TMD-MPI: An MPI Implementation for Multiple Processors Across Multiple FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jason D. Bakos, Charles L. Cathey, Allen Michalski Predictive Load Balancing for Interconnected FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Michael Hübner 0001, Christian Schuck, Jürgen Becker 0001 Elementary block based 2-dimensional dynamic and partial reconfiguration for Virtex-II FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Florent Berthelot, Fabienne Nouvel, Dominique Houzet Partial and dynamic reconfiguration of FPGAs: a top down design methodology for an automatic implementation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Allen Michalski, Duncan A. Buell A Scalable Architecture for RSA Cryptography on Large FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Aman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Arif Rahman Switch Box Architectures for Three-Dimensional FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Robert Strzodka, Dominik Göddeke Pipelined Mixed Precision Algorithms on FPGAs for Fast and Accurate PDE Solvers from Low Precision Components. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Marvin Tom, David Leong, Guy G. Lemieux Un/DoPack: re-clustering of large system-on-chip designs with interconnect variation for low-cost FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF channel width constraints, clustering, field-programmable gate arrays (FPGA), packing
21Gordon R. Chiu, Deshanand P. Singh, Valavan Manohararajah, Stephen Dean Brown Mapping arbitrary logic functions into synchronous embedded memories for area reduction on FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Carsten Bieser, Klaus D. Müller-Glaser Rapid Prototyping Design Acceleration Using a Novel Merging Methodology for Partial Configuration Streams of Xilinx Virtex-II FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Taraneh Taghavi, Soheil Ghiasi, Majid Sarrafzadeh Routing algorithms: architecture driven rerouting enhancement for FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21S. Habermann, René Kothe, Heinrich Theodor Vierhaus Built-in Self Repair by Reconfiguration of FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Javier Vega-Pineda, Mario Ignacio Chacon Murguia, Roberto Camarillo-Cisneros Synthesis of Pulsed-Coupled Neural Networks in FPGAs for Real-Time Image Segmentation. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Vishal Suthar, Shantanu Dutt Mixed PLB and Interconnect BIST for FPGAs Without Fault-Free Assumptions. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Manuel G. Gericota, Luís F. Lemos, Gustavo R. Alves, Mario M. Barbosa, José M. Ferreira 0001 A Framework for Fault Tolerant Real Time Systems Based on Reconfigurable FPGAs. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Robin J. Bruce, Richard Chamberlain, Malachy Devlin, Stephen Marshall Poster reception - Implementing algorithms on FPGAs using high-level languages and low-level libraries. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Balaji Vaidyanathan, Suresh Srinivasan, Yuan Xie 0001, Narayanan Vijaykrishnan, Rong Luo Leakage Optimized DECAP Design for FPGAs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Graham Schelle, Dirk Grunwald CUSP: a modular framework for high speed network applications on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF networking, parallelism, reconfigurable hardware, speculation
21Ling Zhuo, Viktor K. Prasanna Sparse Matrix-Vector multiplication on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, reconfigurable architecture, high performance, floating-point, sparse matrix
21Khaled Benkrid, Samir Belkacemi An integrated framework for the high level design of high performance signal processing circuits on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Deepak Rautela, Rajendra S. Katti Efficient utilization of heterogeneous routing resources for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Zhi Guo, Betul Buyukkurt, Walid A. Najjar, Kees A. Vissers Optimized Generation of Data-Path from C Codes for FPGAs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chang Woo Kang, Massoud Pedram Clustering techniques for coarse-grained, antifuse FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21E. Syam Sundar Reddy, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001, Narayanan Vijaykrishnan Online Detection and Diagnosis of Multiple Configuration Upsets in LUTs of SRAM-Based FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Mohammed Y. Niamat, Surya S. Hejeebu, Mansoor Alam A BIST Approach for Testing FPGAs Using JBITS. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry LAP: a logic activity packing methodology for leakage power-tolerant FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF activity profile, basic logic elements (BLEs), configurable logic blocks (CLBs), sleep transistor (ST), sub-threshold leakage power, FPGA, packing
21Somsubhra Mondal, Seda Ogrenci Memik Fine-grain leakage optimization in SRAM based FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hierarchical LUT, FPGA, low power, leakage power
21Ghazanfar Asadi, Mehdi Baradaran Tahoori Soft Error Mitigation for SRAM-Based FPGAs. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Simon Johnston, Girijesh Prasad, Liam P. Maguire, T. Martin McGinnity Comparative Investigation into Classical and Spiking Neuron Implementations on FPGAs. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Fernanda Lima Kastensmidt, Gustavo Neuberger, Renato Fernandes Hentschke, Luigi Carro, Ricardo Reis 0001 Designing Fault-Tolerant Techniques for SRAM-Based FPGAs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Hao Li, Wai-Kei Mak, Srinivas Katkoori Force-Directed Performance-Driven Placement Algorithm for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Zhi Guo, Walid A. Najjar, Frank Vahid, Kees A. Vissers A quantitative analysis of the speedup factors of FPGAs over processors. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance, FPGA, analysis, VHDL, reconfigurable computing
21Vinay Verma, Shantanu Dutt Roving testing using new built-in-self-tester designs for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Helena Krupnova Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Norbert Pramstaller, Stefan Mangard, Sandra Dominikus, Johannes Wolkerstorfer Efficient AES Implementations on ASICs and FPGAs. Search on Bibsonomy AES Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Advanced Encryption Standard (AES), ASIC
21Edson L. Horta, John W. Lockwood Automated Method to Generate Bitstream Intellectual Property Cores for Virtex FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Abilio Parreira, João Paulo Teixeira 0001, Marcelino B. Santos FPGAs BIST Evaluation. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21David B. Thomas, Wayne Luk Implementing Graphics Shaders Using FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Gokul Govindu, Ling Zhuo, Seonil Choi, Viktor K. Prasanna Analysis of High-Performance Floating-Point Arithmetic on FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Gokul Govindu, Seonil Choi, Viktor K. Prasanna, Vikash Daga, Sridhar Gangadharpalli, V. Sridhar A High-Performance and Energy-Efficient Architecture for Floating-Point Based LU Decomposition on FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Ling Zhuo, Viktor K. Prasanna Scalable and Modular Algorithms for Floating-Point Matrix Multiplication on FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21David Zaretsky, Gaurav Mittal, Xiaoyong Tang, Prithviraj Banerjee Overview of the FREEDOM Compiler for Mapping DSP Software to FPGAs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Lei He, Tulika Mitra, Weng-Fai Wong Configuration bitstream compression for dynamically reconfigurable FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Erik Chmelar Minimizing the number of test configurations for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Maitrali Marik, Ajit Pal Energy-aware Logic Synthesis and Technology Mapping for MUX-based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Rohini Krishnan, José Pineda de Gyvez Low Energy Switch Block For FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Gaurav Mittal, David Zaretsky, Xiaoyong Tang, Prithviraj Banerjee Automatic translation of software binaries onto FPGAs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, reconfigurable computing, binary translation, hardware-software co-design, decompilation
21Seokjin Lee, Martin D. F. Wong Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Miron Abramovici, Charles E. Stroud BIST-Based Delay-Fault Testing in FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Field Programmable Gate Arrays, Built-In Self-Test, delay faults
21Bruce A. Draper, J. Ross Beveridge, A. P. Wim Böhm, Charles Ross, Monica Chawathe Accelerated image processing on FPGAs. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Pedro C. Diniz, Joonseok Park Using FPGAs for data and reorganization engines: preliminary results for spatial pointer-based data structures. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Kuan Zhou, Michael Chu, Chao You, Jong-Ru Guo, Channakeshav, John Mayega, John F. McDonald 0001, Russell P. Kraft, Bryan S. Goda A four-bit full adder implemented on fast SiGe FPGAs with novel power control scheme. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21François-Xavier Standaert, Loïc van Oldeneel tot Oldenzeel, David Samyde, Jean-Jacques Quisquater Power Analysis of FPGAs: How Practical is the Attack? Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Abdsamad Benkrid, Khaled Benkrid, Danny Crookes Design and Implementation of a Novel FIR Filter Architecture with Boundary Handling on Xilinx VIRTEX FPGAs. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Daniel Mesquita, Fernando Gehm Moraes, José Palma 0002, Leandro Möller, Ney Laert Vilar Calazans Remote and Partial Reconfiguration of FPGAs: Tools and Trends. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jian Liang, Russell Tessier, Oskar Mencer Floating Point Unit Generation and Evaluation for FPGAs. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Abdsamad Benkrid, Khaled Benkrid, Danny Crookes A Novel FIR Filter Architecture for Efficient Signal Boundary Handling on Xilinx VIRTEX FPGAs. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell Defect Analysis for Delay-Fault BIST in FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Dereck A. Fernandes, Ian G. Harris Application of Built in Self-Test for Interconnect Testing of FPGAs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Radhika S. Grover, Weijia Shang, Qiang Li A faster distributed arithmetic architecture for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DALUT, XC4000, carry propagation, cost-performance analysis, distributed arithmetic
21Ernest Jamro, Kazimierz Wiatr Constant Coefficient Convolution Implemented in FPGAs. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Bruce A. Draper, J. Ross Beveridge, A. P. Wim Böhm, Charles Ross, Monica Chawathe Implementing Image Applications on FPGAs. Search on Bibsonomy ICPR (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Naoto Kaneko, Hideharu Amano A General Hardware Design Model for Multicontext FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Kara K. W. Poon, Andy Yan, Steven J. E. Wilton A Flexible Power Model for FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Justin L. Tripp, Preston A. Jackson, Brad L. Hutchings Sea Cucumber: A Synthesizing Compiler for FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Seokjin Lee, D. F. Wong 0001 Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing-driven routing, FPGA, Lagrangian relaxation
21Li Shang, Niraj K. Jha Hardware-Software Co-Synthesis of Low Power Real-Time Distributed Embedded Systems with Dynamically Reconfigurable FPGAs. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Prithviraj Banerjee, Malay Haldar, Anshuman Nayak, Victor Kim, Debabrata Bagchi, Satrajit Pal, Nikhil Tripathi A Behavioral Synthesis Tool for Exploiting Fine Grain Parallelism in FPGAs. Search on Bibsonomy IWDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Steven J. E. Wilton A crosstalk-aware timing-driven router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, routing algorithms, crosstalk
21Srihari Cadambi, Seth Copen Goldstein Static Profile-Driven Compilation for FPGAs. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21PariVallal Kannan, Dinesh Bhatia Tightly Integrated Placement and Routing for FPGAs. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Tong Liu 0007, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi Testing and testable designs for one-time programmable FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21John Marty Emmert, Dinesh K. Bhatia A Fault Tolerant Technique for FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF incremental reconfiguration, incremental routing, incremental placement, fault tolerance, FPGA
21Yao-Wen Chang, Kai Zhu 0001, D. F. Wong 0001 Timing-driven routing for symmetrical array-based FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field-programmable gate array, synthesis, layout, computer-aided design of VLSI
21Steven J. E. Wilton Heterogeneous technology mapping for FPGAs with dual-port embedded memory arrays. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Rolf Enzler, Tobias Jeger, Didier Cottet, Gerhard Tröster High-Level Area and Performance Estimation of Hardware Building Blocks on FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Joerg Abke, Erich Barke CoMGen: Direct Mapping of Arbitrary Components into LUT-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Holger Kropp, Carsten Reuter A Mapping Methodology for Code Trees onto LUT-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21John Marty Emmert, Charles E. Stroud, Brandon Skaggs, Miron Abramovici Dynamic Fault Tolerance in FPGAs via Partial Reconfiguration. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Steven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic The memory/logic interface in FPGAs with large embedded memory arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Joerg Abke, Erich Barke, Jörn Stohmann A Universal Module Generator for LUT-Based FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiplexor, Multiplexor Structure, FPGA, Technology Mapping, Module Generator
21Parag K. Lala, Alfred L. Burress A technique for designing self-checking logic for FPGAs. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Vicente Baena Lecuyer, M. A. Aguirre, Antonio Jesús Torralba Silgado, Leopoldo García Franquelo, Julio Faura Decoder-driven switching matrices in multicontext FPGAs: area reduction and their effect on routability. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Bupesh Pandita, Subir K. Roy Design and Implementation of Viterbi Decoder Using FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Testing the Interconnect of RAM-Based FPGAs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jason Cong, Songjie Xu Technology Mapping for FPGAs with Embedded Memory Blocks. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Atsushi Takahara, Toshiaki Miyazaki, Takahiro Murooka, Masaru Katayama, Kazuhiro Hayashi, Akihiro Tsutsui, Takaki Ichimori, Ken-nosuke Fukami More Wires and Fewer LUTs: A Design Methodology for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jason Cong, Yean-Yow Hwang Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Helena Krupnova, Vu DucAnh Dinh, Gabriele Saucier A Knowledge-Based System for Prototyping on FPFAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Michael Eisenring, Jürgen Teich Interfacing Hardware and Software. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF automatic interface synthesis, low power design, rapid prototyping, hardware/software codesign
21Tero Rissa, Tommi Mäkeläinen, Jarkko Niittylahti, Jouni Siirtola Fast Prototyping Using System Emulators. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Yamin Li, Wanming Chu Implementation of single precision floating point square root on FPGAs. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 7385 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license