The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Eisse Mensink, Daniël Schinkel, Eric A. M. Klumperink, Ed van Tuijl, Bram Nauta Optimal Positions of Twists in Global On-Chip Differential Interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Mehboob Alam, Arthur Nieuwoudt, Yehia Massoud Frequency Selective Model Order Reduction via Spectral Zero Projection. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Min Pan, Chris C. N. Chu IPR: An Integrated Placement and Routing Algorithm. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ajay Joshi, Jeffrey A. Davis Wave-pipelined multiplexed (WPM) routing for gigascale integration (GSI). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Valeriy Sukharev Physically based simulation of electromigration-induced degradation mechanisms in dual-inlaid copper interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Guoqing Chen, Eby G. Friedman Low power repeaters driving RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Syed M. Alam, Frank L. Wei, Chee Lip Gan, Carl V. Thompson, Donald E. Troxel Electromigration Reliability Comparison of Cu and Al Interconnects. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yan Lin 0001, Lei He 0001 Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable-Vdd, time slack, FPGA, low power
26Andrew Labun Rapid method to account for process variation in full-chip capacitance extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Shizhong Mei, Chirayu S. Amin, Yehea I. Ismail Efficient model order reduction including skin effect. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, VLSI, model order reduction, skin effect, RLC
26Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh Pattern routing: use and theory for increasing predictability andavoiding coupling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Ivo Bolsens Challenges and Opportunities for FPGA Platforms. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Joachim Worringen, Andreas Gäer, Frank Reker Exploiting Transparent Remote Memory Access for Non-Contiguous- and One-Sided-Communication. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Switching activity generation with automated BIST synthesis forperformance testing of interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Martin Bächtold, Mirko Spasojevic, Christian Lage, Per B. Ljung A system for full-chip and critical net parasitic extraction for ULSI interconnects using a fast 3-D field solver. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Debendra Das Sharma System on a Package Innovations With Universal Chiplet Interconnect Express (UCIe) Interconnect. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Julien Emmanuel A full stack simulator for HPC: Multi-level modelling of the BXI interconnect to predict the performance of MPI applications. (Un simulateur pour le calcul haute performance : modélisation multi-niveau de l'interconnect BXI pour prédire les performances d'applications MPI). Search on Bibsonomy 2023   RDF
23Xiuyan Zhang, Shantanu Dutt Limiting Interconnect Heating in Power-Driven Physical Synthesis. Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Ning Wang, Shiyou Yang, Zhuoxiang Ren, Huifang Wang An Improved Structure-Preserving Reduced-Order Interconnect Macromodeling for Large-Scale Equation Sets of Transient Interconnect Circuit Problems. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Minmin Jiang, Vasilis F. Pavlidis Performance-Aware Interconnect Delay Insertion Against EM Side-Channel Attacks. Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Patrick Groeneveld Wafer scale interconnect and pathfinding for machine learning hardware (invited). Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Saptadeep Pal, Puneet Gupta 0001 Pathfinding for 2.5D interconnect technologies. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Tuck-Boon Chan, Andrew B. Kahng, Mingyu Woo Revisiting inherent noise floors for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Boris Vaisband, Subramanian S. Iyer Communication Considerations for Silicon Interconnect Fabric. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Kwangsoo Han, Andrew B. Kahng, Christopher Moyes, Alex Zelikovsky A study of optimal cost-skew tradeoff and remaining suboptimality in interconnect tree constructions. Search on Bibsonomy SLIP@DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Siti Sarah Md Sallah, Sawal Hamid Md. Ali, P. Susthitha Menon, Nurjuliana Juhari, Md. Shabiul Islam Comparative Performances of SOI-Based Optical Interconnect vs. Electrical Interconnect in Analog Electronic Applications. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Gabriele Miorandi, Mahdi Tala, Marco Balboni, Luca Ramini, Davide Bertozzi Evolutionary vs. Revolutionary Interconnect Technologies for Future Low-Power Multi-Core Systems. Search on Bibsonomy AISTECS@HiPEAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Hai-Bao Chen, Sheldon X.-D. Tan, Valeriy Sukharev, Xin Huang 0003, Taeyoung Kim 0001 Interconnect reliability modeling and analysis for multi-branch interconnect trees. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Sai Manoj P. D., Kanwen Wang, Hantao Huang, Hao Yu 0001 Smart I/Os: a data-pattern aware 2.5D interconnect with space-time multiplexing. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Andrew B. Kahng, Mulong Luo, Siddhartha Nath SI for free: machine learning of interconnect coupling delay and transition effects. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Yuichiro Ajima, Tomohiro Inoue, Shinya Hiramoto, Shunji Uno, Shinji Sumimoto, Kenichi Miura, Naoyuki Shida, Takahiro Kawashima, Takayuki Okamoto, Osamu Moriyama, Yoshiro Ikeda, Takekazu Tabata, Takahide Yoshikawa, Ken Seki, Toshiyuki Shimizu Tofu Interconnect 2: System-on-Chip Integration of High-Performance Interconnect. Search on Bibsonomy ISC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Rasit Onur Topaloglu Chip-scale physical interconnect models (Tutorial). Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li 0002 Toward quantifying the IC design value of interconnect technology improvements. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Andrew B. Kahng, Seokhyeong Kang, Hyein Lee 0001, Siddhartha Nath, Jyoti Wadhwani Learning-based approximation of interconnect delay and slew in signoff timing tools. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Hongbo Zhang 0001, Yunfei Deng, Jongwook Kye, Martin D. F. Wong Impact of lithography retargeting process on low level interconnect in 20nm technology. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Xiangyu Chen, Jiale Liang, H.-S. Philip Wong Interconnect scaling into the sub-10nm regime. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Ganapati Srinivasa Heterogeneity and interconnect. Search on Bibsonomy SLIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Ahmad Atghiaee, Nasser Masoumi A Predictive and Accurate Interconnect Density Function: The Core of a Novel Interconnect-Centric Prediction Engine. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Tom English, Emanuel M. Popovici Interconnect Physical Analyser (IPAA) applied to the design of scalable Network-on-Chip interconnect for Cryptographic accelerators. Search on Bibsonomy NOCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23T. Alam, Rohit Dhiman, Rajeevan Chandel Resistive analysis of mixed carbon nanotube bundle interconnect and its comparison with copper interconnect. Search on Bibsonomy ICWET The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Chen-Ling Chou, Radu Marculescu, Ümit Y. Ogras, Satrajit Chatterjee, Michael Kishinevsky, Dmitrii Loukianov System interconnect design exploration for embedded MPSoCs. Search on Bibsonomy SLIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Di-An Li, Malgorzata Marek-Sadowska, Bill Lee On-chip em-sensitive interconnect structures. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Shekhar Y. Borkar Future of interconnect fabric: a contrarian view. Search on Bibsonomy SLIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Myeong-Eun Hwang, Seong-Ook Jung, Kaushik Roy 0001 Slope Interconnect Effort: Gate-Interconnect Interdependent Delay Modeling for Early CMOS Circuit Simulation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Kaustav Banerjee Graphene based nanomaterials for VLSI interconnect and energy-storage applications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF carbon nanomaterials, graphene nano-ribbons, interconnects, carbon nanotubes, passives
23Ruzica Jevtic, Carlos Carreras, Vukasin Pejovic Floorplan-based FPGA interconnect power estimation in DSP circuits. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, low power, interconnects, power estimation
23Payman Zarkesh-Ha, Ken Doniger Stochastic interconnect layout sensitivity model. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF critical area analysis, layout sensitivity, reliability, stochastic model, yield, design for manufacturability, defect density
23Chandu Visweswariah Statistical analysis and optimization in the presence of gate and interconnect delay variations. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF variability, robust optimization, statistical timing
23Louis Scheffer An overview of on-chip interconnect variation. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF causes of variability, on-chip variation, design rules
23Sudhakar Muddu Estimation needs for future networking systems interconnect. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Joni Dambre, Peter Verplaetse, Dirk Stroobandt, Jan Van Campenhout Getting more out of Donath's hierarchical model for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Donath's wirelength estimation technique, a priori wirelength estimation, partitioning based placement
23Phillip Christie Managing interconnect resources (tutorial). Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23James W. Joyner, Payman Zarkesh-Ha, Jeffrey A. Davis, James D. Meindl Vertical pitch limitations on performance enhancement in bonded three-dimensional interconnect architectures. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Keh-Jeng Chang, Soo-Young Oh, Ken Lee HIVE: An Efficient Interconnect Capacitance Extractor to Support Submicron Multilevel Interconnect Designs. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
23Zhen Wang, Ding Xie, Jinmei Lai FPGA Interconnect Architecture Exploration Based on a Statistical Model. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF hops, model, FPGA, interconnect
23Yuichiro Ajima, Yuzo Takagi, Tomohiro Inoue, Shinya Hiramoto, Toshiyuki Shimizu The Tofu Interconnect. Search on Bibsonomy Hot Interconnects The full citation details ... 2011 DBLP  DOI  BibTeX  RDF high-performance computing, topology, interconnect
23Heiner Litz, Maximilian Thürmer, Ulrich Brüning 0001 TCCluster: A Cluster Architecture Utilizing the Processor Host Interface as a Network Interconnect. Search on Bibsonomy CLUSTER The full citation details ... 2010 DBLP  DOI  BibTeX  RDF HyperTransport, AMD, Opteron, interconnect, HPC, Low latency, high bandwidth
23Kee Beom Kim, Seong Min Jo, Jin Woo Song, Ki-Seok Chung, Yong Ho Song Performance evaluation of on-chip interconnect IP using CBR traffic generator model. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip interconnect IP, simulation, traffic generator
23Valerij Matrose, Carsten Gremzow Improved placement for hierarchical FPGAs exploiting local interconnect resources. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, interconnect, placement
23Krishna Saraswat Performance comparison of cu/low-k, carbon nanotube, and optics for on-chip and off-chip interconnects. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF copper, energy per bit, power, latency, bandwidth, optical interconnect, carbon nanotube
23Zied Marrakchi, Hayder Mrabet, Emna Amouri, Habib Mehrez Efficient tree topology for FPGA interconnect network. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fpga, routing, interconnect, hierarchy, rent's rule
23Simon W. Moore, Daniel Greenfield The next resource war: computation vs. communication. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fractal structure, temporal interconnect, tera-scale, networks-on-chip, CMP, communication complexity, Rent's rule
23Katherine Shu-Min Li, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF oscillation ring (OR) test scheme, open faults, crosstalk glitches, IEEE P1500, wrapper cell design, stuck-at faults, delay faults, SOC testing, interconnect test
23Haikun Zhu, Rui Shi 0003, Chung-Kuan Cheng, Hongyu Chen Approaching Speed-of-light Distortionless Communication for On-chip Interconnect. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.10 micron, speed-of-light distortionless communication, surfliner on-chip distortionless transmission line scheme, shunt resistors, shunt conductance, single-ended microstrip line, 10 Gbit/s, on-chip interconnect
23Haikun Zhu, Yi Zhu 0002, Chung-Kuan Cheng, David M. Harris An Interconnect-Centric Approach to Cyclic Shifter Design Using Fanout Splitting and Cell Order Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 64 bit, interconnect-centric approach, fanout splitting, cell order optimization, logarithmic cyclic shifter design, demultiplexers, shifting path, nonshifting paths, accumulated wire load, switching probabilities, integer linear programming
23Iris Hui-Ru Jiang, Song-Ra Pan, Yao-Wen Chang, Jing-Yang Jou Reliable crosstalk-driven interconnect optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF post-layout optimization, VLSI, interconnect, lagrangian relaxation
23Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh BIST for Network-on-Chip Interconnect Infrastructures. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect infrastructure, unicast test, multicast test, built-in self-test, network-on-chip
23Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, multiprocessor, network-on-chip, topology, interconnect
23Baosheng Wang, Andy Kuo, Touraj Farahmand, André Ivanov, Yong B. Cho, Sassan Tabatabaei A Realistic Timing Test Model and Its Applications in High-Speed Interconnect Devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF timing specifications testing, test environment, tester OTA and yield, high-speed interconnect testing, yield analysis
23André DeHon Design of programmable interconnect for sublithographic programmable logic arrays. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Manhattan mesh, sublithographic architecture, programmable logic arrays, nanowires, programmable interconnect
23Gustavo Pereira, Antonio Andrade Jr., Tiago R. Balen, Marcelo Lubaszewski, Florence Azaïs, Michel Renovell Testing the Interconnect Networks and I/O Resources of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPAA testing, Mixed-signal test, interconnect testing, oscillation-based test
23Quming Zhou, Kartik Mohanram, Athanasios C. Antoulas Structure preserving reduction of frequency-dependent interconnect. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, model-order reduction, skin effect
23Lakshmi Kalpana Vakati, Janet Meiling Wang A new multi-ramp driver model with RLC interconnect load. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inductance criteria, multi-ramp driver model, transmission line effects, interconnect modeling, effective capacitance
23Raphael Rubin, André DeHon Design of FPGA interconnect for multilevel metalization. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multi-level metalization, FPGA, interconnect, hierarchical, mesh-of-trees
23Baosheng Wang, Yong B. Cho, Sassan Tabatabaei, André Ivanov Yield, Overall Test Environment Timing Accuracy, and Defect Level Trade-Offs for High-Speed Interconnect Device Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Timing specifications testing, Test Environment, Tester OTA and yield, High-speed interconnect testing, Yield analysis
23John Mayega, Okan Erdogan, Paul M. Belemjian, Kuan Zhou, John F. McDonald 0001, Russell P. Kraft 3D direct vertical interconnect microprocessors test vehicle. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SiGe HBT, direct vertical integration, finite state machine, interconnect, microprocessor, adder, register file, 3D integration, current mode logic
23Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
23Partha Pratim Pande, Cristian Grecu, André Ivanov High-Throughput Switch-Based Interconnect for Future SoCs. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC, Wormhole Routing, Virtual Channels, Interconnect Architecture
23Michael D. Hutton, Vinson Chan, Peter Kazarian, Victor Maruri, Tony Ngai, Jim Park, Rakesh H. Patel, Bruce Pedersen, Jay Schleicher, Sergey Y. Shumarayev Interconnect enhancements for a high-speed PLD architecture. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, architecture, interconnect, programmable logic
23Stephen E. Krufka, Phillip Christie Terminal optimization analysis for functional block re-use. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, SoC, interconnect, Rent's rule
23Bin Liu, Fabrizio Lombardi, Wei-Kang Huang Testing programmable interconnect systems: an algorithmic approach. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF programmable circuits, interconnected systems, programmable interconnect systems testing, algorithmic approach, programmable wiring networks, comprehensive fault model, network faults, open faults, switch faults, stuck-off faults, programming faults, minimal configuration number, node-disjoint path-sets, network adjacencies, post-processing algorithm, fault diagnosis, graphs, interconnections, fault detection, fault coverage, circuit analysis computing, stuck-at faults, switching, bridge faults, automatic test software, circuit testing, figure of merit, programming phases, stuck-on faults, short circuits
23Krishna Saraswat, Shukri J. Souri, Kaustav Banerjee, Pawan Kapur Performance analysis and technology of 3-D ICs. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, interconnect, circuits, ICs, 3-D
23Dirk Stroobandt, Herwig Van Marck Efficient representation of interconnection length distributions using generating polynomials. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect length distributions, enumeration, VLSI CAD, generating polynomials
23W. J. Bainbridge, Stephen B. Furber Asynchronous Macrocell Interconnect using MARBLE. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Macrocell Bus, VLSI, Interconnect, Asynchronous
23Takumi Okamoto, Jason Cong Buffered Steiner tree construction with wire sizing for interconnect layout optimization. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Steiner Tree, Buffer Insertion, Interconnect Optimization, Wire Sizing
23Prasasth Palnati, Mario Gerla, Emilio Leonardi Deadlock-free routing in an optical interconnect for high-speed wormhole routing networks. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high-speed wormhole routing networks, Supercomputer SuperNet, two-level hierarchical high-speed network, electronic mesh fabric, WDM optical backbone network, metropolitan area, campus area, backpressure hop-by-hop flow control mechanism, shufflenet multihop virtual topology, physical channels, up/down deadlock free routing scheme, bidirectional shufflenet, optical backbone, multiprocessor interconnection networks, network routing, virtual channels, wavelength division multiplexing, optical interconnections, optical interconnect, deadlock-free routing, deadlock prevention
23Jimmy Shinn-Hwa Wang, Wayne Wei-Ming Dai Transformation of min-max optimization to least-square estimation and application to interconnect design optimization. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF min-max optimization transformation, interconnect design optimization, tighter bound, circuit layout CAD, minimisation, least squares approximations, multichip modules, multichip modules, least-square estimation, minimax techniques
23Tülin Erdim Mangir, Algirdas Avizienis Fault-Tolerant Design for VLSI: Effect of Interconnect Requirements on Yield Improvement of VLSI Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF VLSI fault tolerance, Interconnect area estimates, redundancy partitioning, redundancy placement, regular designs, VLSI yield improvement
23Chris C. N. Chu, D. F. Wong 0001 A new approach to simultaneous buffer insertion and wire sizing. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect delay minimization, interconnect area minimization, convex quadratic programming, buffer insertion, wire sizing
22Usman Ahmed, Guy G. Lemieux, Steven J. E. Wilton The impact of interconnect architecture on via-programmed structured ASICs (VPSAs). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured asics, via programmable fabric
22Zhuo Li 0001, David A. Papa, Charles J. Alpert, Shiyan Hu, Weiping Shi, Cliff C. N. Sze, Nancy Ying Zhou Ultra-fast interconnect driven cell cloning for minimizing critical path delay. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gate duplication, physical synthesis, timing-driven placement
22Bruce Mathewson The evolution of SOC interconnect and how NOC fits within it. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF AMBA AXI, network on chip
22Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria An interconnect-aware delay model for dynamic voltage scaling in NM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage scaling (dvs), interconnects, delay model
22Tung-Chieh Chen, Yao-Wen Chang, Shyh-Chang Lin A New Multilevel Framework for Large-Scale Interconnect-Driven Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras Experimental Characterization of CMOS Interconnect Open Defects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Tzvetan S. Metodi, Darshan D. Thaker, Andrew W. Cross, Isaac L. Chuang, Frederic T. Chong High-level interconnect model for the quantum logic array architecture. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QLA, Quantum computer architecture design, teleportation, fault tolerance, large scale, quantum
22Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Low power, retiming
22Md. Sajjad Rahaman, Masud H. Chowdhury BER performance comparison between CDMA and UWB for RF/wireless interconnect application. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license