The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Testability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (18) 1981-1982 (19) 1983-1984 (27) 1985 (18) 1986 (28) 1987-1988 (53) 1989 (39) 1990 (62) 1991 (57) 1992 (64) 1993 (85) 1994 (76) 1995 (135) 1996 (84) 1997 (92) 1998 (95) 1999 (99) 2000 (102) 2001 (68) 2002 (91) 2003 (78) 2004 (82) 2005 (87) 2006 (75) 2007 (79) 2008 (87) 2009 (49) 2010 (42) 2011 (34) 2012 (28) 2013 (17) 2014 (28) 2015 (21) 2016 (18) 2017-2018 (35) 2019 (29) 2020 (26) 2021 (29) 2022 (25) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(783) book(2) incollection(3) inproceedings(1401) phdthesis(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2833 occurrences of 878 keywords

Results
Found 2210 publication records. Showing 2210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Y. Tsiatouhas, Th. Haniotakis, Dimitris Nikolos A Compact Built-In Current Sensor for IDDQ Testing. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Bridging and Stuck-on fault testability, Design for testability, DFT, IDDQ testing, Built in current sensors, BICS, Current monitoring
22Dhruva R. Chakrabarti, Ajai Jain An improved hierarchical test generation technique for combinational circuits with repetitive sub-circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hierarchical test generation technique, repetitive subcircuits, hierarchical testing algorithm, bus fault model, high-level subcircuits, high level incompatibility, test generation time, complete fault coverage, computational complexity, fault diagnosis, logic testing, high level synthesis, design for testability, design for testability, ATPG, combinational circuits, combinational circuits, logic CAD, automatic test software, signal flow graphs, state transition graph
22Shih-Yuang Su, Cheng-Wen Wu Testing Iterative Logic Arrays for Sequential Faults with a Constant Number of Patterns. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF sequential faults, ILA, M-testability, constant-length test sequence, pipelined array multiplier, sequential fault testing, logic testing, logic testing, sequential circuits, test pattern generation, logic arrays, combinatorial circuits, test vectors, C-testability, iterative logic arrays, iterative logic array
22Martin Rudolph Feedback-testing by using multiple input signature registers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Bult-in self-test, design for testability, test-pattern generation, testability analysis, MISR
22John Paul Shen, F. Joel Ferguson The Design of Easily Tastabel VLSI Array Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF design for testability, VLSI testing, Array multipliers, C-testability, exhaustive testing
21Anna Bernasconi 0001, Valentina Ciriani, Rolf Drechsler, Tiziano Villa Logic Minimization and Testability of 2-SPP Networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Melvin A. Breuer Clarifying the record on testability cost functions. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Jason G. Brown, Brian Taylor, Ronald D. Blanton, Larry T. Pileggi Automated Testability Enhancements for Logic Brick Libraries. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy Design-for-Testability for Synchronous Sequential Circuits that Maintains Functional Switching Activity. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy Design-for-Testability for Improved Path Delay Fault Coverage of Critical Paths. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Elena Grigorescu, Tali Kaufman, Madhu Sudan 0001 2-Transitivity Is Insufficient for Local Testability. Search on Bibsonomy CCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF error correcting codes, property testing, sublinear time algorithms
21Loganathan Lingappan, Niraj K. Jha Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Hao-Chiao Hong A Design-for-Digital-Testability Circuit Structure for Sigma-Delta Modulators. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Loganathan Lingappan, Niraj K. Jha Efficient Design for Testability Solution Based on Unsatisfiability for Register-Transfer Level Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jaroslav Skarvada, Tomas Herrman, Zdenek Kotásek Testability Analysis Based on the Identification of Testable Blocks with Predefined Properties. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chengying Mao AOP-based Testability Improvement for Component-based Software. Search on Bibsonomy COMPSAC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy Transparent DFT: a design for testability and test generation approach for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Wei-Tek Tsai, Jerry Gao 0002, Xiao Wei 0001, Yinong Chen Testability of Software in Service-Oriented Architecture. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Liangli Ma, Houxiang Wang, Yongjie Li Using Component Metadata based on Dependency Relationships Matrix to improve the Testability of Component-based Software. Search on Bibsonomy ICDIM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy On masking of redundant faults in synchronous sequential circuits with design-for-testability logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sami Beydeda Self-Testability in Unit Testing. Search on Bibsonomy COMPSAC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Barbara Cannas, Alessandra Fanni, Augusto Montisci Testability evaluation for analog linear circuits via transfer function analysis. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sotirios Matakias, Y. Tsiatouhas, Themistoklis Haniotakis, Angela Arapoyanni, Aristides Efthymiou Fast, Parallel Two-Rail Code Checker with Enhanced Testability. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Loganathan Lingappan, Niraj K. Jha Unsatisfiability Based Efficient Design for Testability Solution for Register-Transfer Level Circuits. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ethan Schuchman, T. N. Vijaykumar Rescue: A Microarchitecture for Testability and Defect Tolerance. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Nicola Nicolici, Bashir M. Al-Hashimi Testability Trade-Offs for BIST Data Paths. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power-constrained test, BIST
21José M. Fernandes, Marcelino B. Santos, Arlindo L. Oliveira, João Paulo Teixeira 0001 A Probabilistic Method for the Computation of Testability of RTL Constructs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Cecilia Metra, T. M. Mak, Martin Omaña 0001 Are Our Design for Testability Features Fault Secure? Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Stephen K. Sunter, Adam Osseiran, Adam Cron, Neil G. Jacobson, Dave Bonnett, Bill Eklow, Carl Barnhart, Ben Bennetts Status of IEEE Testability Standards 1149.4, 1532 and 1149.6. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Andrzej Krasniewski Optimization of Testability of Sequential Circuits Implemented in FPGAs with Embedded Memory. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Mapping Symmetric Functions to Hierarchical Modules for Path-Delay Fault Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Haluk Konuk, Leon Xiao DFFT : Design For Functional Testability. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Scott Erlanger, Dilip K. Bhavsar, Richard A. Davies Testability Features of the Alpha 21364 Microprocessor. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Christoph Hoffmann A New Design Flow and Testability Measure for the Generation of a Structural Test and BIST for Analogue and Mixed-Signal Circuits. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Atlaf Ul Amin, Satoshi Ohtake, Hideo Fujiwara Design for Two-Pattern Testability of Controller-Data Path Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Taewoong Jeon, Sungyoung Lee, Hyonwoo Seung Increasing the Testability of Object-Oriented Frameworks with Built-in Tests. Search on Bibsonomy AISA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21A. N. Trahtman A Polynomial Time Algorithm for Left [Right] Local Testability. Search on Bibsonomy CIAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF locally testable, algorithm, graph, language, semigroup, deterministic finite automaton
21Hiroyuki Yotsuyanagi, Masaki Hashizume, Taisuke Iwakiri, Masahiro Ichimiya, Takeomi Tamesada Random Pattern Testability of the Open Defect Detection Method using Application of Time-variable Electric Field. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF supply current test, time-variable electric field, test pattern generation, CMOS IC, open defects
21Ryoji Ishikawa, Tomonori Igarashi, Takashi Hirayama, Kensuke Shimizu Pseudocube-based expressions to enhance testability. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Laurence Tianruo Yang, Jon C. Muzio Redundant transformations for BIST testability metrics-based data path allocation. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Benoit Baudry, Yves Le Traon, Gerson Sunyé, Jean-Marc Jézéquel Towards a 'Safe' Use of Design Patterns to Improve OO Software Testability. Search on Bibsonomy ISSRE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Alessandro Bogliolo, Michele Favalli, Maurizio Damiani Enabling testability of fault-tolerant circuits by means of IDDQ-checkable voters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell Improving path delay testability of sequential circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21A. Schubert, Walter Anheier On Random Pattern Testability of Cryptographic VLSI Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF testing of cores, test-ready intellectual property, built-in self-test, pseudorandom testing
21Rolf Drechsler, Wolfgang Günther 0001, Bernd Becker 0001 Testability of Circuits Derived from Lattice Diagrams. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Thomas W. Williams, Rohit Kapur Design for Testability in Nanometer Technologies; Searching for Quality. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Sanghyeon Baeg, William A. Rogers A cost-effective design for testability: clock line control and test generation using selective clocking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar Primitive delay faults: identification, testing, and design for testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Huy Nguyen 0001, Rabindra K. Roy, Abhijit Chatterjee Partial Reset Methodology and Experiments for Improving Random-Pattern Testability and BIST of Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit BIST, built0in self-test, fault propagation analysis, BIST, partial reset
21Bernard Antaki, Yvon Savaria, Nanhan Xiong, Saman Adham Design For Testability Method for CML Digital Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Jacob Savir Design for Testability to Combat Delay Faults. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, LFSR, Delay Test, MISR, LSSD, SRL
21Jacob Savir Random pattern testability of memory address logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21R. Scott Fetherston, Imtiaz P. Shaik, Siyad C. Ma Testability Features of the AMD-K6 Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Juan A. Prieto, Adoración Rueda, Ian Andrew Grout, Eduardo J. Peralías, José L. Huertas, Andrew Mark David Richardson An Approach to Realistic Fault Prediction and Layout Design for Testability in Analog Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Kent L. Einspahr, Shashank K. Mehta, Sharad C. Seth Synthesis of Sequential Circuits with Clock Control to Improve Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Aiman H. El-Maleh, Thomas E. Marchok, Janusz Rajski, Wojciech Maly Behavior and testability preservation under the retiming transformation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Franco Fummi, U. Rovati, Donatella Sciuto Functional design for testability of control-dominated architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interacting FSMs, functional testing
21Dilip K. Bhavsar, John H. Edmondson Alpha 21164 Testability Strategy. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Xinli Gu, Erik Larsson, Krzysztof Kuchcinski, Zebo Peng A controller testability analysis and enhancement technique. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Wei-Kang Huang, M. Y. Zhang, Fred J. Meyer, Fabrizio Lombardi A XOR-Tree Based Technique for Constant Testability of Configurable FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF programmable system, diagnosis, FPGA testing, XOR
21Michiaki Emori, Junko Kumagai, Koichi Itaya, Takashi Aikyo, Tomoko Anan, Junichi Niimi ATREX : Design for Testability System for Mega Gate LSIs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Design for Testabilty
21Irith Pomeranz, Sudhakar M. Reddy On Full Reset as a Design-For-Testability Technique. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Toshinori Hosokawa, Kenichi Kawaguchi, Mitsuyasu Ohta, Michiaki Muraoka A Design for testability Method Using RTL Partitioning. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF line-up structure, internally balanced structure, acyclic structure, partitioning, ATPG, DFT, RTL, isolation, balanced structure
21Elena Dubrova, Jon C. Muzio Testability of Generalized Multiple-Valued Reed-Muller Circuits. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Karl Fuchs Synthesis for path delay fault testability via tautology-based untestability identification and factorization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Thomas E. Marchok, Aiman H. El-Maleh, Janusz Rajski, Wojciech Maly Testability Implications of Performance-Driven Logic Synthesis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Elizabeth M. Rudnick, Vivek Chickermane, Janak H. Patel An observability enhancement approach for improved testability and at-speed test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Andrew J. Bishop, André Ivanov On the Testability of CMOS Feedback Amplifiers. Search on Bibsonomy DFT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential test generation and synthesis for testability at the register-transfer and logic levels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Delay-fault test generation and synthesis for testability under a standard scan design methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Chao Feng, Jon C. Muzio, Fabrizio Lombardi On the testability of array structures for FFT computation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Constant tetability, testing, fault detection, FFT, fault location
21Khalil Drira, Pierre Azéma, B. Soulas, A. M. Chemali Testability of a Communicating System Through an Environment. Search on Bibsonomy TAPSOFT The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Thomas Müller-Wipperfürth, Josef Scharinger, Franz Pichler FSM Shift Register Realization for Improved Testability. Search on Bibsonomy EUROCAST The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Silvia Ercolani, Michele Favalli, Maurizio Damiani, Piero Olivo, Bruno Riccò Testability measures in pseudorandom testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Michael J. Bryan, Srinivas Devadas, Kurt Keutzer Necessary and sufficient conditions for hazard-free robust transistor stuck-open-fault testability in multilevel networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Janusz Rajski, Jagadeesh Vasudevamurthy The testability-preserving concurrent decomposition and factorization of Boolean expressions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Mehrdad Bidjan-Irani A Rule-Based Design-for-Testability Rule Checker. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Rajiv Gupta 0002, Rajagopalan Srinivasan, Melvin A. Breuer Reorganizing Circuits to Aid Testability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Gertjan J. Hemink, Berend W. Meijer, Hans G. Kerkhoff Testability analysis of analog systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Weiwei Mao, Michael D. Ciletti DYTEST: a self-learning algorithm using dynamic testability measures to accelerate test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21F. Darlay, Bernard Courtois Robust tests for stuck-open faults and design for testability of reconvergent fan-out CMOS logic networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Susheel J. Chandra, Janak H. Patel Experimental evaluation of testability measures for test generation (logic circuits). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21André Ivanov, Vinod K. Agarwal Dynamic testability measures for ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
21Mehmet A. Cirit Switch Level Random Pattern Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
21Weiwei Mao, Michael D. Ciletti Dytest: A Self-Learning Algorithm Using Dynamic Testability Measures to Accelerate Test Generation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
18Dong Xiang, Mingjing Chen, Jia-Guang Sun Scan BIST with biased scan test signals. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF random testability, test signal, biased random testing, scan-based BIST
18Xuan-Tu Tran, Yvain Thonnart, Jean Durupt, Vincent Beroulle, Chantal Robach A Design-for-Test Implementation of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC testing, QDI asynchronous logic, Network-on-Chip, DfT, testability, NoC, Design-for-Test, GALS, SoC testing, testing methodology, on-chip communication, Globally Asynchronous - Locally Synchronous
18Ted Vucurevich 3-D semiconductor's: more from Moore. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF partitioning, analysis, testability, 3-D integration
18Dong Xiang, Mingjing Chen, Hideo Fujiwara Using Weighted Scan Enable Signals to Improve Test Effectiveness of Scan-Based BIST. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Random testability, scan enable signal, weighted random testing, scan-based BIST
18Irith Pomeranz, Sudhakar M. Reddy On Generating Tests that Avoid the Detection of Redundant Faults in Synchronous Sequential Circuits with Full Scan. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF overtesting, test generation, Design-for-testability, synchronous sequential circuits, redundant faults, full-scan, fault dominance
18Jee-Youl Ryu, Bruce C. Kim, Iboun Taimiya Sylla A Novel RF Test Scheme Based on a DFT Method. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RF design-for-testability, known-good-die, defects, low noise amplifier, RF test
18Margrit R. Krug, Marcelo de Souza Moraes, Marcelo Lubaszewski Using a software testing technique to identify registers for partial scan implementation. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware testing, testability improvement, hardware description language, automatic test generation, partial scan design
18Ahmad A. Al-Yamani DFT for controlled-impedance I/O buffers. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF I/O characterization, I/O test, built-in self test, design-for-testability
18Dong Xiang, Ming-Jing Chen, Hideo Fujiwara Using Weighted Scan Enable Signals to Improve the Effectiveness of Scan-Based BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Test signal, random testability, weighted random testing, scan-based BIST
18Muhammad Nummer, Manoj Sachdev A DFT Technique for Testing High-Speed Circuits with Arbitrarily Slow Testers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance testing, controlled-delay flip-flop, built-in self test, delay-fault testing, design for delay testability
18Shiyi Xu Build-In-Self-Test for Software. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Software Testing, Design for Testability, Build-In-Self-Test (BIST)
18Michiko Inoue, Kazuhiro Suzuki, Hiroyuki Okamoto, Hideo Fujiwara Test Synthesis for Datapaths Using Datapath-Controller Functions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hierarchical test generation, non-scan design, design-for-testability, at-speed testing, RTL circuit
18Taewoong Jeon, Hyonwoo Seung, Sungyoung Lee Embedding built-in tests in hot spots of an object-oriented framework. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hook classes, testability, object-oriented framework, built-in test (BIT)
Displaying result #401 - #500 of 2210 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license