The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for defects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1984 (16) 1985-1987 (15) 1988 (20) 1989-1990 (28) 1991-1992 (38) 1993 (22) 1994 (24) 1995 (46) 1996 (48) 1997 (59) 1998 (61) 1999 (74) 2000 (96) 2001 (125) 2002 (141) 2003 (184) 2004 (265) 2005 (255) 2006 (288) 2007 (329) 2008 (355) 2009 (215) 2010 (124) 2011 (116) 2012 (73) 2013 (94) 2014 (123) 2015 (108) 2016 (121) 2017 (132) 2018 (164) 2019 (185) 2020 (225) 2021 (267) 2022 (284) 2023 (303) 2024 (80)
Publication types (Num. hits)
article(2065) book(3) incollection(17) inproceedings(2975) phdthesis(42) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3212 occurrences of 1532 keywords

Results
Found 5103 publication records. Showing 5103 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Yiwen Shi, Kellie DiPalma, Jennifer Dworak Efficient Determination of Fault Criticality for Manufacturing Test Set Optimization. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Bin Chen 0018, George S. Avrunin, Elizabeth A. Henneman, Lori A. Clarke, Leon J. Osterweil, Philip L. Henneman Analyzing medical processes. Search on Bibsonomy ICSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF medical processes, model checking, finite-state verification, property specifications
18Na Meng 0001, Qianxiang Wang, Qian Wu, Hong Mei 0001 An Approach to Merge Results of Multiple Static Analysis Tools (Short Paper). Search on Bibsonomy QSIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF general specification, prioritizing policyquality, quality, result merge, static analysis tool
18Aiman H. El-Maleh, Bashir M. Al-Hashimi, Aissa Melouki Transistor-level based defect tolerance for reliable nanoelectronics. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Teijiro Isokawa, Shin'ya Kowada, Yousuke Takada, Ferdinand Peper, Naotake Kamiura, Nobuyuki Matsui Defect-Tolerance in Cellular Nanocomputers. Search on Bibsonomy New Gener. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cellular Automata, Configurability, Asynchronous, Nanotechnology, Defect Tolerance
18Sanjukta Bhanja, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli QCA Circuits for Robust Coplanar Crossing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coplanar crossing, temperature characterization, defect characterization, Bayesian network, QCA, TMR
18Katrin Meisinger, Til Aach, André Kaup Spatio-Temporal Defect Pixel Interpolation using 3-D Frequency Selective Extrapolation. Search on Bibsonomy ICIP (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Joaquín Santoyo, Jesús Carlos Pedraza Ortega, L. Felipe Mejía, Alejandro Santoyo PCB Inspection Using Image Processing and Wavelet Transform. Search on Bibsonomy MICAI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hong-Dar Lin, Chung-Yu Chung A Wavelet-Based Neural Network Applied to Surface Defect Detection of LED Chips. Search on Bibsonomy ISNN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LED chip, surface defect detection, Multi-layer perceptron neural network with backpropagation algorithm, Wavelet decomposition, Computer vision system
18F. Onur Kutlubay, Burak Turhan, Ayse Basar Bener A Two-Step Model for Defect Density Estimation. Search on Bibsonomy EUROMICRO-SEAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Somnath Paul, Rajat Subhra Chakraborty, Swarup Bhunia Defect-Aware Configurable Computing in Nanoscale Crossbar for Improved Yield. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jari Vanhanen, Harri Korpi Experiences of Using Pair Programming in an Agile Project. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ilya Levin, Benjamin Abramov, Vladimir Ostrovsky Reduction of Fault Latency in Sequential Circuits by using Decomposition. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jyun-Wei Chen, Ying-Yen Chen, Jing-Jia Liou Handling Pattern-Dependent Delay Faults in Diagnosis. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Vadim A. Slavin, Robert Pelcovits, George Loriot, Andrew Callan-Jones, David H. Laidlaw Techniques for the Visualization of Topological Defect Behavior in Nematic Liquid Crystals. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Tensor Visualization, Liquid Crystals, Case Studies, Molecular Modeling
18Thomas S. Barnett, Matt Grady, Kathleen G. Purdy, Adit D. Singh Combining Negative Binomial and Weibull Distributions for Yield and Reliability Prediction. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, testing
18Rahul Jain 0004, Anindita Mukherjee, Kolin Paul Defect-Aware Design Paradigm for Reconfigurable Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Francisca Emanuelle Vieira, Francisco Martins, Rafael Silva, Ronaldo Menezes, Márcio Braga On the Idea of Using Nature-Inspired Metaphors to Improve Software Testing. Search on Bibsonomy AIAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shin-Min Chao, Du-Ming Tsai, Yan-Hsin Tseng, Yuan-Ruei Jhang Defect detection in low-contrast glass substrates using anisotropic diffusion. Search on Bibsonomy ICPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Thilo Streichert, Christian Strengert, Christian Haubelt, Jürgen Teich Dynamic task binding for hardware/software reconfigurable networks. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF online hardware/software partitioning, fault-tolerance, reconfigurable system
18Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram A novel framework for faster-than-at-speed delay test considering IR-drop effects. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Manuvir Das Unleashing the Power of Static Analysis. Search on Bibsonomy SAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Dietmar Winkler 0001, Stefan Biffl An Empirical Study on Design Quality Improvement from Best-Practice Inspection and Pair Programming. Search on Bibsonomy PROFES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ian Holden, Dave Dalton Improving Testing Efficiency using Cumulative Test Analysis. Search on Bibsonomy TAIC PART The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Wei Zou, Wu-Tung Cheng, Sudhakar M. Reddy, Huaxing Tang On Methods to Improve Location Based Logic Diagnosis. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jaime Spacco, David Hovemeyer, William W. Pugh Tracking defect warnings across versions. Search on Bibsonomy MSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF bug histories, bug tracking, Java, static analysis, FindBugs
18Domingo Mery, Miguel Carrasco Advances on Automated Multiple View Inspection. Search on Bibsonomy PSIVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF industrial applications, multiple view geometry, automated visual inspection
18Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin Ultra low-cost defect protection for microprocessor pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-protection, reliability, pipelines, low-cost
18Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect Detection Using Quiescent Signal Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple current measurements, Quiescent Signal Analysis, IDDQ, current testing, defect-based testing, parametric testing
18Toshiro Kubota, Parag Talekar, Xianyun Ma, Tangali S. Sudarshan A nondestructive automated defect detection system for silicon carbide wafers. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18David Hovemeyer, Jaime Spacco, William W. Pugh Evaluating and tuning a static analysis to find null pointer bugs. Search on Bibsonomy PASTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, static analysis
18Bernd G. Freimut, Christian Denger, Markus Ketterer An Industrial Case Study of Implementing and Validating Defect Classification for Process Improvement and Quality Management. Search on Bibsonomy IEEE METRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Charles X. Ling, Shengli Sheng, Tilmann F. W. Bruckhaus, Nazim H. Madhavji Predicting Software Escalations with Maximum ROI. Search on Bibsonomy ICDM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Matthew J. Rummel, Gregory M. Kapfhammer, Andrew Thall Towards the prioritization of regression test suites with data flow information. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Huaxing Tang, Gang Chen 0011, Sudhakar M. Reddy, Chen Wang 0014, Janusz Rajski, Irith Pomeranz Defect Aware Test Patterns. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ruben Alexandersson, D. Krishna Chaitanya, Peter Öhman, Yasir Siraj A Technique for Fault Tolerance Assessment of COTS Based Systems. Search on Bibsonomy SAFECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Rong-Chi Chang, Louis H. Lin, Chia-Ton Tian, Timothy K. Shih Video inpainting and restoration techniques. Search on Bibsonomy ACM Multimedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF motion estimation, software tool, defect detection, inpainting, image completion, video inpainting, object removal
18Kenichi Horie, Yukio Ohsawa Extracting High Quality Scenario for Consensus on Specifications of New Products. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jari Vanhanen, Casper Lassenius Effects of pair programming at the development team level: an experiment. Search on Bibsonomy ISESE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jay Jahangiri, David Abercrombie Meeting Nanometer DPM Requirements Through DFT. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Srinivas Raghvendra, Philippe Hurat DFM: Linking Design and Manufacturing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ethan Schuchman, T. N. Vijaykumar Rescue: A Microarchitecture for Testability and Defect Tolerance. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Laurie A. Williams On the need for a process for making reliable quality comparisons with industrial data. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Li-C. Wang, Jing-Jia Liou, Kwang-Ting Cheng Critical path selection for delay fault testing based upon a statistical timing model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Rosa Rodríguez-Montañés, D. Muñoz, Luz Balado, Joan Figueras Analog Switches in Programmable Analog Devices: Quiescent Defective Behaviours. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Analog Switch, DC defective behaviour, DC test, open defect, bridging defect
18Xin Wang, Brian Stephen Wong, W. M. Bai, Chen Guan Tui X-ray image segmentation using wavelet method. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mahim Mishra Scalable Defect Tolerance Beyond the SIA Roadmap. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18João W. Cangussu, Richard M. Karcich, Aditya P. Mathur, Raymond A. DeCarlo Software Release Control using Defect Based Quality Estimation. Search on Bibsonomy ISSRE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Youngshin Han, Chilgee Lee RRAM Spare Allocation in Semiconductor Manufacturing for Yield Improvement. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jing Huang 0001, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi Defect Characterization for Scaling of QCA Devices. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yen-Lin Peng, Jing-Jia Liou, Chih-Tsun Huang, Cheng-Wen Wu An Application-Independent Delay Testing Methodology for Island-Style FPGA. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF segment delay fault, FPGA, delay testing, path delay fault
18Jerzy J. Dabrowski, Javier Gonzalez Bayon Mixed Loopback BiST for RF Digital Transceivers. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Abhishek Singh 0001, Chintan Patel, Jim Plusquellic Fault Simulation Model for i{DDT} Testing: An Investigation. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Amit Verma, Charles Robinson, Steve Butkovich Production Test Effectiveness of Combined Automated Inspection and ICT Test Strategies. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect detection under Realistic Leakage Models using Multiple IDDQ Measurement. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Thomas J. Vogels, Thomas Zanon, Rao Desineni, R. D. (Shawn) Blanton, Wojciech Maly, Jason G. Brown, Jeffrey E. Nelson, Y. Fei, X. Huang, Padmini Gopalakrishnan, Mahim Mishra, Vyacheslav Rovner, S. Tiwary Benchmarking Diagnosis Algorithms With a Diverse Set of IC Deformations. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yukio Okuda Panel Synopsis - Diagnosis Meets Physical Failure Analysis: How Long Can We Succeed? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Alan P. Wood Software Reliability from the Customer View. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Fred J. Meyer, Nohpill Park Predicting Defect-Tolerant Yield in the Embedded Core Context. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Yield, integrated circuit, defect tolerance, embedded core
18Sadahiro Isoda A Critique of UML's Definition of the Use-Case Class. Search on Bibsonomy UML The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Marcelino B. Santos, José M. Fernandes, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL Test Pattern Generation for High Quality Loosely Deterministic BIST. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri Comparison of Open and Resistive-Open Defect Test Conditions in SRAM Address Decoders. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Rei-Fu Huang, Yung-Fa Chou, Cheng-Wen Wu Defect Oriented Fault Analysis for SRAM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Mohammad Gh. Mohammad, Kewal K. Saluja Electrical Model For Program Disturb Faults in Non-Volatile Memories. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Daniel Micusík, Viera Stopjaková, Lubica Benusková Application of Feed-forward Artificial Neural Networks to the Identification of Defective Analog Integrated Circuits. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Circuits response investigation, Fault modelling and simulation, Resilient-backpropagation neural networks, Signal filtering, Supply current analysis
18Bente Anda, Dag I. K. Sjøberg Towards an inspection technique for use case models. Search on Bibsonomy SEKE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF use cases, inspections
18Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto, Toshifumi Kobayashi, Tsutomu Hondo CMOS Floating Gate Defect Detection Using I DDQ Test with DC Power Supply. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Thomas S. Barnett, Matt Grady, Kathleen G. Purdy, Adit D. Singh Redundancy Implications for Early-Life Reliability: Experimental Verification of an Integrated Yield-Reliability Model. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Minh Quach, Tuan Pham, Tim Figal, Bob Kopitzke, Pete O'Neill Wafer-Level Defect-Based Testing Using Enhanced Voltage Stress and Statistical Test Data Evaluation. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jacob A. Abraham, Arun Krishnamachary, Raghuram S. Tupuri A Comprehensive Fault Model for Deep Submicron Digital Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Hans G. Kerkhoff, Arun A. Joseph, Sander Heuvelmans Testable Design and Testing of High-Speed Superconductor Microelectronics. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Ali Chehab, Rafic Z. Makki, Michael Spica, David Wu IDDT Test Methodologies for Very Deep Sub-micron CMOS Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Kin'ya Takahashi, Kunihito Yamamori, Ikuo Yoshihara, Susumu Horiguchi Comparison with Defect Compensation Methods for Feed-forward Neural Networks. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Anna Maria Brosa, Joan Figueras Digital Signature Proposal for Mixed-Signal Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, analog test, mixed-signal test
18Oliver Laitenberger, Khaled El Emam, Thomas G. Harbich An Internally Replicated Quasi-Experimental Comparison of Checklist and Perspective-Based Reading of Code Documents. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF replication, Software inspection, meta-analysis, perspective-based reading, quasi experiment
18Stéphane Mérillou, Jean-Michel Dischler, Djamchid Ghazanfarpour Surface scratches: measuring, modeling and rendering. Search on Bibsonomy Vis. Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Surface scratches, Physical measurements, Texture mapping, BRDFs, Realistic rendering
18Clelia Mandriota, Ettore Stella, Massimiliano Nitti, Nicola Ancona, Arcangelo Distante Rail corrugation detection by Gabor filtering. Search on Bibsonomy ICIP (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Pradeep Nagaraj, Shambhu Upadhaya, Kamran Zarrineh, R. Dean Adams Defect Analysis and a New Fault Model for Multi-port SRAMs. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dual-port, SDDRF, electrical fault model, SRAM, defect analysis, multi-port
18S. K. Tewksbury Challenges Facing Practical DFT for MEMS. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Microelectromechanical systems, microsystems technologies, fault tolerance, defect tolerance
18Mykola Blyzniuk, Irena Kazymyra Development of the Special Software Tools for the Defect/Fault Analysis in the Complex Gates from Standard Cell Library. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Test Vector Components, Software Tool, VLSI Circuit, Spot Defect, Fault Identification, Complex Gate
18Sanjay Mohapatra, B. Mohanty Defect Prevention through Defect Prediction: A Case Study at Infosys. Search on Bibsonomy ICSM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Slawomir Skoneczny, Marcin Iwanowski On Restoration of Degraded Cinematic Sequences by Means of Digital Image Processing. Search on Bibsonomy CAIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF degraded image sequence, image restoration
18Diane Kelly 0002, Terry Shepard A case study in the use of defect classification in inspections. Search on Bibsonomy CASCON The full citation details ... 2001 DBLP  BibTeX  RDF software engineering, software testing, software maintenance, software metrics, software validation, orthogonal defect classification
18Stéphane Mérillou, Jean-Michel Dischler, Djamchid Ghazanfarpour A BRDF Postprocess to Integrate Porosity on Rendered Surfaces. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF physical state of surfaces, porosity measurements, BRDF, Realistic rendering
18Stan Rifkin, Lionel E. Deimel Program Comprehension Techniques Improve Software Inspections: A Case Study. Search on Bibsonomy IWPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Xiaohong Jiang 0001, Susumu Horiguchi, Yue Hao Predicting the Yield Efficacy of a Defect-Tolerant Embedded Core. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Theo J. Powell, James R. Pair, Melissa St. John, Doug Counce Delta Iddq for Testing Reliability. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reliability, Iddq
18Marek Leszak, Dewayne E. Perry, Dieter Stoll A case study in root cause defect analysis. Search on Bibsonomy ICSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF defect prevention, modification management, root cause analyis, process improvement, quality assurance
18Xiaoliang Bai, Sujit Dey, Janusz Rajski Self-test methodology for at-speed test of crosstalk in chip interconnects. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Ravi Prakash Nandivada, Arunava Chandra, Saswata Dutta, Gargi Keeni The 9 Quadrant Model for Code Reviews. Search on Bibsonomy APAQS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Stefan Biffl, Michael Halling, Monika Köhle Investigating the Effect of a Second Software Inspection Cycle: Cost-Benefit Data from a Large-Scale Experiment on Reinspection of a Software Requirements Document. Search on Bibsonomy APAQS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar Primitive delay faults: identification, testing, and design for testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Søren Lauesen, Houman Younessi Is Software Quality Visible in the Code? Search on Bibsonomy IEEE Softw. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Arno Vermunt, Martin Smits, Gert van der Pijl, Rini van Solingen Using GSSs to Support Error Detection in Software Specifications. Search on Bibsonomy HICSS (1) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Sridhar Narayanan, R. Srinivasan, R. P. Kunda, Marc E. Levitt, Saied Bozorgui-Nesbat A fault diagnosis methodology for the UltraSPARCTM-I microprocessor. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18W. Bruce Culbertson, Rick Amerson, Richard J. Carter, Philip Kuekes, Greg Snider Defect tolerance on the Teramac custom computer. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Abu Khari bin A'Ain, A. H. Bratt, A. P. Dorey Testing Analogue Circuits by A C Power Supply Voltage. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analogue test, Fault model, low voltage test
18Leendert M. Huisman Yield fluctuations and defect models. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF chip testing, defect distribution, field failures, clustering, yield, defect coverage
18Shyang-Tai Su, Rafic Z. Makki, H. Troy Nagle Transient power supply current monitoring - A new test method for CMOS VLSI circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Design for current-testability, drain/source opens, floating gates, shorts, transient power supply current
18Robert Azencott, Bernard Chalmond, François Coldefy Markov fusion of a pair of noisy images to detect intensity valleys. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 5103 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license