The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1963 (19) 1964-1966 (16) 1967-1968 (38) 1969 (17) 1970 (17) 1971 (18) 1972 (20) 1973 (28) 1974 (54) 1975 (27) 1976 (90) 1977 (64) 1978 (70) 1979 (48) 1980 (74) 1981 (77) 1982 (126) 1983 (110) 1984 (108) 1985 (137) 1986 (192) 1987 (214) 1988 (274) 1989 (290) 1990 (372) 1991 (311) 1992 (325) 1993 (348) 1994 (382) 1995 (541) 1996 (509) 1997 (560) 1998 (454) 1999 (672) 2000 (756) 2001 (718) 2002 (952) 2003 (1177) 2004 (1365) 2005 (1596) 2006 (1707) 2007 (1708) 2008 (1614) 2009 (1181) 2010 (660) 2011 (554) 2012 (494) 2013 (501) 2014 (427) 2015 (456) 2016 (470) 2017 (416) 2018 (418) 2019 (460) 2020 (399) 2021 (428) 2022 (386) 2023 (419) 2024 (74)
Publication types (Num. hits)
article(7025) book(16) data(1) incollection(53) inproceedings(18549) phdthesis(278) proceedings(16)
Venues (Conferences, Journals, ...)
IPDPS(464) IEEE Trans. Computers(447) DATE(392) CoRR(368) ISCAS(348) ISCA(344) DAC(331) IEEE Trans. Parallel Distribut...(324) ICASSP(295) IEEE J. Solid State Circuits(284) MICRO(270) ICCD(252) FPL(249) IEEE Trans. Very Large Scale I...(248) IEEE Micro(233) ASAP(228) More (+10 of total 2714)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 20075 occurrences of 5412 keywords

Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Hans Domjan, Thomas R. Gross Extending a Best-Effort Operating System to Provide QoS Processor Management. Search on Bibsonomy IWQoS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Sungjoo Yoo, Jong-eun Lee, Jinyong Jung, Kyungseok Rha, Youngchul Cho, Kiyoung Choi Fast Hardware-Software Coverification by Optimistic Execution of Real Processor. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Roberto Maro, Yu Bai 0001, R. Iris Bahar Dynamically Reconfiguring Processor Resources to Reduce Power Consumption in High-Performance Processors. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, high-performance, architecture-level
20Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch
20Md. Altaf-Ul-Amin, Zahari Mohamed Darus VHDL Design of a Test Processor Based on Mixed-Mode Test Generation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Vishnu A. Patankar, Alok Jain, Randal E. Bryant Formal Verification of an ARM Processor. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Shen-Fu Hsiao, Jen-Yin Chen Design, Implementation and Analysis of a New Redundant CORDIC Processor with Constant Scaling Factor and Regular Structure. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Hiroshi Tomiyasu, Shigeru Kusakabe, Tetsuo Kawano, Makoto Amamiya Co-processor System Design for Fine-Grain Message Handling in KUMP/D. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Gaurav Aggarwal, Nitin Thaper, Kamal Aggarwal, M. Balakrishnan, Shashi Kumar A Novel Reconfigurable Co-Processor Architecture. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Debashis Basak, Dhabaleswar K. Panda 0001, Mohammad Banikazemi Benefits of Processor Clustering in Designing Large Parallel Systems: When and How? Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Pedro Furtado 0001, Henrique Madeira Fault Injection Evaluation of Assigned Signatures in a RISC Processor. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Raj Vaswani, John Zahorjan The Implications of Cache Affinity on Processor Scheduling for Multiprogrammed, Shared Memory Multiprocessors. Search on Bibsonomy SOSP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
20Earl E. Swartzlander Jr. Generic signal processor implementation with VHSIC. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20L. Lim, A. Park Solving the processor identity problem in O(n) space. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Mitsuo Ishii, Hiroyuki Sato, Morio Ikesaka, Kouichi Murakami, Hiroaki Ishihata Cellular array processor CAP and applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Kemal Oflazer A reconfigurable VLSI architecture for a database processor. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
20Giovanni Mariani, Aleksandar Brankovic, Gianluca Palermo, Jovana Jovic, Vittorio Zaccaria, Cristina Silvano A correlation-based design space exploration methodology for multi-processor systems-on-chip. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design space exploration, kriging, response surface, multi-processor systems-on-chip
20Satoshi Amamiya, Makoto Amamiya, Ryuzo Hasegawa, Hiroshi Fujita 0002 A continuation-based noninterruptible multithreading processor architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel processing, Multithreading, Processor architecture, Thread level parallelism, Multithreaded programming
20Yi Pang, WeiDong Hu, Lifeng Sun, Shiqiang Yang Adaptive data-driven parallelization of multi-view video coding on multi-core processor. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive data-driven, Cell Broadband Engine™ Processor, parallelization, multi-view video coding
20Joo-Young Kim 0001, Seungjin Lee 0001, Jinwook Oh, Minsu Kim, Hoi-Jun Yoo A 60fps 496mW multi-object recognition processor with workload-aware dynamic power management. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF energy efficient object recognition, multimedia processor, workload-aware dynamic power management
20Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism
20Jorgen Peddersen, Sri Parameswaran Low-Impact Processor for Dynamic Runtime Power Management. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-impact processor, runtime power management, power estimation, energy aware, macromodeling, counters
20Jongeun Lee, Aviral Shrivastava Static analysis of processor stall cycle aggregation. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory bound loops, processor free time, stall cycle aggregation, embedded systems, low power, code transformation
20Tanya René Beelders, Pieter J. Blignaut, Theo McDonald, Engela Dednam Novice Word Processor User Performance with Pictorial and Text Icons. Search on Bibsonomy APCHI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Word processor, Usability, Text, Icons
20Valeri Kirischian, Vadim Geurkov, Lev Kirischian A multi-mode video-stream processor with cyclically reconfigurable architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cost-performance ratio, video-stream processor, FPGA, computer architecture, reconfigurable computing, dynamic reconfiguration, pre-fetching, temporal partitioning
20Darshika G. Perera, Kin Fun Li Parallel Computation of Similarity Measures Using an FPGA-Based Processor Array. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data mining, similarity measures, processor array
20Hans G. Kerkhoff, Jarkko J. M. Huijts Testing of a Highly Reconfigurable Processor Core for Dependable Data Streaming Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable multi-processor-cores SoC, embedded system test, dependable SoCs, ATPG, Design-for-Test, self-repair
20Andy Lambrechts, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Diederik Verkest Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnect-Aware Design, Low Power, Processor Architecture, Energy-Aware Design
20Wenjing Rao, Alex Orailoglu, Ramesh Karri Towards Nanoelectronics Processor Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, computational model, processor architecture, nanoelectronics, time redundancy, hardware redundancy
20Medha Shukla Sarkar, Abisoye Mudasiru, Nilanjan Sarkar Design and implementation of a command processor for high level human-robot interaction system. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TXL, command processor, transformation languages
20Flavius Gruian, Mark Westmijze BlueJEP: a flexible and high-performance Java embedded processor. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, Java processor, Bluespec
20Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Supporting multithreading in configurable soft processor cores. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF soft processor cores, multithreading
20Ying Zhang 0032, Gen Li 0002, Xuejun Yang, Kun Zeng Optimizing Stream Organization to Improve the Performance of Scientific Computing Applications on the Stream Processor. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stream reusing, stream transpose, inter-cluster communication, cluster, parallel computing, Scientific computing, SIMD, stream processor, stream programming model
20An'an Luo, Chuang Lin 0002, Zhen Chen 0001, Xuehai Peng, Peter D. Ungsunan TNC-compatible NAC System implemented on Network Processor. Search on Bibsonomy LCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TNC, AES algorithm, network processor, network access control
20Eitan Altman, Konstantin Avrachenkov, Urtzi Ayesta A survey on discriminatory processor sharing. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Discriminatory Processor Sharing, Conservation Law, Asymptotic Analysis, M/G/1
20Robert C. Hampshire, Mor Harchol-Balter, William A. Massey Fluid and diffusion limits for transient sojourn times of processor sharing queues with time varying rates. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Diffusion limits, Time-varying queues, Uniform acceleration, Virtual customers, Processor sharing, Sojourn times, Transient behavior, Fluid limits
20Dharani Sankar Vijayakumar, S. Vijay Ram A network processor implementation for solving the ACK implosion problem. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ACK implosion, Acknowledgement (ACK), Intel IXP 2400, Network Processor (NP), multicast clients, multicast server
20H. Christian Gromoll, Philippe Robert, Bert Zwart, Richard Bakker The impact of reneging in processor sharing queues. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measure valued process, queues in overload, queues with impatience, admission control, user behavior, processor sharing, fluid limits, delay-differential equation
20Robert Ronan, Colm O'hEigeartaigh, Colin C. Murphy, Michael Scott, Tim Kerins, William P. Marnane An Embedded Processor for a Pairing-Based Cryptosystem. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ç pairing, genus 2 hyperelliptic, characteristic 2, pairing-based cryptosystem, dedicated processor
20Mei Wen, Nan Wu 0003, Changqing Xun, Wei Wu, Chunyuan Zhang Optimization and Evaluating of StreamYGX2 on MASA Stream Processor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Ygx2, 2D Lagrange-Euler Method, scientific computing, stream processor
20Zhichun Zhu, Xiaodong Zhang 0001 Look-Ahead Architecture Adaptation to Reduce Processor Power Consumption. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Low-power design, Processor Architectures
20Mancia Anguita, J. Manuel Martinez-Lechado MP3 Optimization Exploiting Processor Architecture and using Better Algorithms. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF D.3.4.g Optimization, C.1 Processor Architectures, C.1.2.j SIMD processors, E.4.a Data compaction and compression, J.9.c Multimedia applications and multimedia signal processing
20Yung-Chi Chang, Chao-Chih Huang, Wei-Min Chao, Liang-Gee Chen An Efficient Embedded Bitstream Parsing Processor for MPEG-4 Video Decoding System. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF bitstream parsing processor, data partitioned bitstream parsing, MPEG-4, video decoding
20Jih-Fu Tu Cache Management for Discrete Processor Architectures. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency
20Liang Yang, Tushar Gohad, Pavel Ghosh, Devesh Sinha, Arunabha Sen, Andréa W. Richa Resource mapping and scheduling for heterogeneous network processor systems. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF HW-SW partitioning, network processor, codesign, randomized rounding
20Rong-Tai Liu, Nen-Fu Huang, Chih-Hao Chen, Chia-Nan Kao A fast string-matching algorithm for network processor-based intrusion detection system. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF network, Intrusion detection, pattern matching, processor
20Richard B. Kujoth, Chi-Wei Wang, Derek B. Gottlieb, Jeffrey J. Cook, Nicholas P. Carter A reconfigurable unit for a clustered programmable-reconfigurable processor. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, technology scaling, reconfigurable processor
20Pierre G. Paulin, Chuck Pilkington, Michel Langevin, Essaid Bensoudane, Gabriela Nicolescu Parallel programming models for a multi-processor SoC platform applied to high-speed traffic management. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-chip, embedded software, multi-processor systems
20Hideaki Yanagisawa, Minoru Uehara, Hideki Mori Development Methodology of ASIP Based on Java Byte Code Using HW/SW Co-Design System for Processor Design. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF HW/SW Codesign system, C-DASH, ASIP, Java processor, ISA
20Marcus Bednara, Jürgen Teich Automatic Synthesis of FPGA Processor Arrays from Loop Algorithms. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF regular processor arrays, FPGA, design automation, space-time mapping
20Moonsoo Kang, Chansu Yu, Hee Yong Youn, Ben Lee, Myungchul Kim 0001 Isomorphic Strategy for Processor Allocation in k-Ary n-Cube Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance evaluation, partitioning, job scheduling, processor allocation, k-ary n-cube
20Karl-Heinz Zimmermann A Special Purpose Array Processor Architecture for the Molecular Dynamics Simulation of Point-Mutated Proteins. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF point mutation, penicillin amidase, parallel processing, molecular dynamics, protein, array processor
20Dino Oliva, Rainer Buchty, Nevin Heintze AES and the cryptonite crypto processor. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF round key generation, architecture, cryptography, AES, processor, high-speed, software implementation, high-bandwidth
20Jason Stinson, Stefan Rusu A 1.5GHz third generation itanium® 2 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-die cache, reliability, test, design methodology, processor
20Li Chen, Xiaoliang Bai, Sujit Dey Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect, crosstalk, processor, self-test
20Andreas Svolos, Charalampos Konstantopoulos, Christos Kaklamanis A Parallel Solution in Texture Analysis Employing a Massively Parallel Processor (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hypercube, texture analysis, co-occurrence matrix, massively parallel processor
20Juha-Pekka Soininen, Jari Kreku, Yang Qu, Martti Forsell Fast processor core selection for WLAN modem using mappability estimation. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mappability estimation, processor architecture evaluation, codesign, cost function
20Jack Liu, Fred C. Chow A near-optimal instruction scheduler for a tightly constrained, variable instruction set embedded processor. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling
20Rong Lin Trading Bitwidth For Array Size: A Unified Reconfigurable Arithmetic Processor Design. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IP design, inner product processor, matrix multiplier, run-time reconfigurable architecture, SOC, array multiplier, polynomial evaluation
20Tadayoshi Horita, Itsuo Takanami Fault-Tolerant Processor Arrays Based on the 1½-Track Switches with Flexible Spare Distributions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF The 1$frac{1}{2}$-track switch model, reconfiguration, yield enhancement, wafer scale integration, mesh-connected processor arrays
20Sivarama P. Dandamudi, Samir Ayachi Performance of Hierarchical Processor Scheduling in Shared-Memory Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, multiprocessor systems, processor scheduling, time-sharing, Hierarchical scheduling, space-sharing
20Peter M. Kuhn Fast MPEG-4 Motion Estimation: Processor Based and Flexible VLSI Implementations. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF algorithm, VLSI, motion estimation, MPEG-4, processor, complexity analysis
20Takeiki Aizono, Katsumi Kawano, Masahiro Ohashi, Makoto Kogure An Object Model of Autonomous Control Processor and the Message Selection Method. Search on Bibsonomy ISADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ADS (Autonomous Decentralized System), ACP (Autonomous Control Processor), fault-tolerance, scalability, maintainability
20Gerassimos D. Barlas Collection-Aware Optimum Sequencing of Operations and Closed-Form Solutions for the Distribution of a Divisible Load on Arbitrary Processor Trees. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Optimum load distribution, optimum distribution and collection sequencing, data-parallel applications, arbitrary processor trees, collection-aware load distribution
20Alan S. Wagner, Halsur V. Sreekantaswamy, Samuel T. Chanson Performance Models for the Processor Farm Paradigm. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF processor farm, master-slave, performance evaluation, network flow, tree networks, Parallel programming paradigms, message passing architecture
20Byung S. Yoo, Chita R. Das Good Processor Management = Fast Allocation + Efficient Scheduling. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Group scheduling policy, processor management, stack-based allocation algorithm, operating systems, mesh-connected multicomputers
20B. Madhu Rao, Sub Ramakrishnan Queueing Models for a Single Server LAN with Processor Sharing Disciplines. Search on Bibsonomy Computing The full citation details ... 1996 DBLP  DOI  BibTeX  RDF queueing local area networks, Markov chains, processor sharing, file server
20Dirk Fimmel, Renate Merker Propagation of I/O-Variables in Massively Parallel Processor Arrays. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel processor arrays, systems of recurrence equations, automatic design methods, massive parallelism
20Vijay S. Iyengar, Louise Trevillyan, Pradip Bose Representative Traces for Processor Models with Infinite Cache. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dynamic traces, performance evaluation, timer, processor design
20David Feldcamp, Alan Wagner Using the Parsec environment to implement a high-performance processor farm. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Parsec, high-performance processor farm, multicomputer programs, context-sensitive configuration, hand-optimized implementations, declarative structural information, parallel application structure specification, performance evaluation, performance, user interfaces, user interface, scalability, parallel programming, parallel architectures, static analysis, message passing, reuse, abstraction, optimisation, programming environments, software reusability, parallel programming environment, communication primitives
20Heung-Nam Kim, Mary Jane Irwin, Robert Michael Owens Motion Estimation Algorithms on Fine Grain Array Processor. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF motion estimation, video compression, array processor, block matching, massively parallel processing
20Giuseppe Ascia, Vincenzo Catania Design of a VLSI parallel processor for fuzzy computing. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI parallel processor, fuzzy computing, /spl alpha/-level sets theory, memory resources, processing units, 50 MHz, scalability, VLSI, parallelism, fuzzy logic, parallel architectures, inference mechanisms, fuzzy set theory, integrated circuit design, microprocessor chips, membership functions, fuzzy inferences, clock frequency, 8 bit
20Ali Skaf, Alain Guyot SAGA: the first general-purpose on-line arithmetic co-processor. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF general-purpose co-processor, online arithmetic coprocessor, VLSI realisation, BKM algorithm, complex logarithm function, complex exponential function, VLSI, arithmetic, coprocessors, CMOS digital integrated circuits, redundant number systems, CMOS IC, SAGA
20Egon Börger, Giuseppe Del Castillo A formal method for provably correct composition of a real-life processor out of basic components. (The APE100 Reverse Engineering Study. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-life processor, APE100 Reverse Engineering, modular structuring, microprocessor zCPU, APE100 massively parallel machine, provably correct composition, formal specification, formal method, reverse engineering, parallel architectures
20Kiran M. Rege, Bhaskar Sengupta A decomposition theorem and related results for the discriminatory processor sharing queue. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF delay, Queueing, processor sharing, sojourn time
20Louise E. Moser, P. M. Melliar-Smith, Vivek Agrawala Processor Membership in Asynchronous Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF asynchronous distributedsystems, processor membership, broadcast messages, membership protocol, totalorder, fault tolerance, distributed systems, protocols, fault tolerant computing, distributed processing, reconfiguration, asynchrony, broadcast communication
20Massimo Maresca Polymorphic Processor Arrays. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF polymorphic processor arrays, mesh-connected arrays, PPA, low complexity algorithms, PPA programming model, computational complexity, parallel processing, parallel computers, parallel architectures, multiprocessor interconnection networks
20Chris J. Scheiman, Peter R. Cappello A Processor-Time-Minimal Systolic Array for Transitive Closure. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF processor-time-minimal multiprocessor schedules, 2-D mesh, parallel algorithms, systolic array, systolic arrays, directed acyclic graph, multiprocessor schedule, transitive closure
20Sy-Yen Kuo, Kuochen Wang Fault diagnosis in reconfigurable VLSI and WSI processor arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF design for diagnosability, VLSI/WSI processor array, fault diagnosis, reconfiguration, yield enhancement
20Michael Deering, Stephanie Winner, Bic Schediwy, Chris Duffy, Neil Hunt The triangle processor and normal vector shader: a VLSI system for high performance graphics. Search on Bibsonomy SIGGRAPH The full citation details ... 1988 DBLP  DOI  BibTeX  RDF graphics VLSI, hardware lighting models, real-time image display, triangle processor, interpolation, shading
20Grant Martin Processor Stew (review of Processor Description Languages by P. Mishra and N. Dutt, Eds.; 2008) [Book reviews]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20H. Peter Hofstee Power Efficient Processor Architecture and The Cell Processor. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Silvia M. Müller, Christian Jacobi 0002, Hwa-Joon Oh, Kevin D. Tran, Scott R. Cottier, Brad W. Michael, Hiroo Nishikawa, Yonetaro Totsuka, Tatsuya Namatame, Naoka Yano, Takashi Machida, Sang H. Dhong The Vector Floating-Point Unit in a Synergistic Processor Element of a CELL Processor. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Vitus J. Leung, Esther M. Arkin, Michael A. Bender, David P. Bunde, Jeanette Johnston, Alok Lal, Joseph S. B. Mitchell, Cynthia A. Phillips, Steven S. Seiden Processor Allocation on Cplant: Achieving General Processor Locality Using One-Dimensional Allocation Strategies. Search on Bibsonomy CLUSTER The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Ken-ichiro Murakami A Pseudo Network Approach to Inter-processor Communication on a Shared-memory Multi-processor MacELIS. Search on Bibsonomy Workshop on Parallel Lisp The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Thomas G. Price A Note on the Effect or the Central Processor Service Time Distribution on Processor Utilization in Multiprogrammed Computer Systems. Search on Bibsonomy J. ACM The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
18Cosmin E. Oancea, Alan Mycroft, Stephen M. Watt A new approach to parallelising tracing algorithms. Search on Bibsonomy ISMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory-centric tracing algorithm, parallel
18Huiyang Zhou A case for fault tolerance and performance enhancement using chip multi-processors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Rama Sangireddy Reducing Rename Logic Complexity for High-Speed and Low-Power Front-End Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wide-issue processors, integer pipeline, rename logic complexity, front-end power consumption
18Alex K. Jones, Raymond Hoare, Dara Kusic, Gayatri Mehta, Joshua Fazekas, John Foster 0001 Reducing power while increasing performance with supercisc. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low-power, synthesis, VLIW, predication, multicore architectures
18V. Santhosh Kumar, Matthew J. Thazhuthaveetil, R. Govindarajan Exploiting programmable network interfaces for parallel query execution in workstation clusters. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Attila Egri-Nagy, Chrystopher L. Nehaniv Evolvability of the Genotype-Phenotype Relation in Populations of Self-Replicating Digital Organisms in a Tierra-Like System. Search on Bibsonomy ECAL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Takayuki Osogami, Mor Harchol-Balter, Alan Scheller-Wolf Analysis of cycle stealing with switching cost. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF matrix analytic, distributed system, supercomputing, task assignment, load sharing, starvation, cycle stealing, unfairness, server farm
18Ewa Z. Bem, Luke Petelczyc MiniMIPS: a simulation project for the computer architecture laboratory. Search on Bibsonomy SIGCSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation in lab environments, computer architecture
18Hon Nin Cheung, Li-Minn Ang, Kamran Eshraghian Parallel Architecture for the Implementation of the Embedded Zerotree Wavelet Algorithm. Search on Bibsonomy ACAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF EZW, Parallel processing, image coding
18Alan A. Bertossi, Luigi V. Mancini, Federico Rossini Fault-Tolerant Rate-Monotonic First-Fit Scheduling in Hard-Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault tolerance, multiprocessor systems, hard-real-time systems, periodic tasks, rate-monotonic scheduling, task replication
18Santosh G. Abraham, Scott A. Mahlke Automatic and Efficient Evaluation of Memory Hierarchies for Embedded Systems. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Srikat Subramaniam, Derek L. Eager Affinity scheduling of unbalanced workloads. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Bruce Chittenden, James Hyde, Jeffrey P. Radick A scheme for terminal I/O not requiring interrupts. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
18Paula B. Hawthorn The Effect of Target Applications on the Design of Database Machines. Search on Bibsonomy SIGMOD Conference The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 25938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license