|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 20075 occurrences of 5412 keywords
|
|
|
Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Hans Domjan, Thomas R. Gross |
Extending a Best-Effort Operating System to Provide QoS Processor Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWQoS ![In: Quality of Service - IWQoS 2001, 9th International Workshop Karlsruhe, Germany, June 6-8, 2001, Proceedings, pp. 92-106, 2001, Springer, 3-540-42217-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Sungjoo Yoo, Jong-eun Lee, Jinyong Jung, Kyungseok Rha, Youngchul Cho, Kiyoung Choi |
Fast Hardware-Software Coverification by Optimistic Execution of Real Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 663-668, 2000, IEEE Computer Society / ACM, 0-7695-0537-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Roberto Maro, Yu Bai 0001, R. Iris Bahar |
Dynamically Reconfiguring Processor Resources to Reduce Power Consumption in High-Performance Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACS ![In: Power-Aware Computer Systems, First International Workshop, PACS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers, pp. 97-111, 2000, Springer, 3-540-42329-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
low-power, high-performance, architecture-level |
20 | Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada |
A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 279-280, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch |
20 | Md. Altaf-Ul-Amin, Zahari Mohamed Darus |
VHDL Design of a Test Processor Based on Mixed-Mode Test Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 4-6 March 1999, Ann Arbor, MI, USA, pp. 244-, 1999, IEEE Computer Society, 0-7695-0104-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Vishnu A. Patankar, Alok Jain, Randal E. Bryant |
Formal Verification of an ARM Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India, pp. 282-287, 1999, IEEE Computer Society, 0-7695-0013-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Shen-Fu Hsiao, Jen-Yin Chen |
Design, Implementation and Analysis of a New Redundant CORDIC Processor with Constant Scaling Factor and Regular Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 20(3), pp. 267-278, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Hiroshi Tomiyasu, Shigeru Kusakabe, Tetsuo Kawano, Makoto Amamiya |
Co-processor System Design for Fine-Grain Message Handling in KUMP/D. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 779-788, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Gaurav Aggarwal, Nitin Thaper, Kamal Aggarwal, M. Balakrishnan, Shashi Kumar |
A Novel Reconfigurable Co-Processor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 10th International Conference on VLSI Design (VLSI Design 1997), 4-7 January 1997, Hyderabad, India, pp. 370-375, 1997, IEEE Computer Society, 0-8186-7755-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Debashis Basak, Dhabaleswar K. Panda 0001, Mohammad Banikazemi |
Benefits of Processor Clustering in Designing Large Parallel Systems: When and How? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, USA, pp. 286-290, 1996, IEEE Computer Society, 0-8186-7255-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
20 | Pedro Furtado 0001, Henrique Madeira |
Fault Injection Evaluation of Assigned Signatures in a RISC Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDCC ![In: Dependable Computing - EDCC-2, Second European Dependable Computing Conference, Taormina, Italy, October 2-4, 1996, Proceedings, pp. 55-72, 1996, Springer, 3-540-61772-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
20 | Raj Vaswani, John Zahorjan |
The Implications of Cache Affinity on Processor Scheduling for Multiprogrammed, Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the Thirteenth ACM Symposium on Operating System Principles, SOSP 1991, Asilomar Conference Center, Pacific Grove, California, USA, October 13-16, 1991, pp. 26-40, 1991, ACM, 0-89791-447-3. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
20 | Earl E. Swartzlander Jr. |
Generic signal processor implementation with VHSIC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 2(2), pp. 111-116, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
20 | L. Lim, A. Park |
Solving the processor identity problem in O(n) space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, SPDP 1990, Dallas, Texas, USA, December 9-13, 1990., pp. 676-680, 1990, IEEE Computer Society, 0-8186-2087-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
20 | Mitsuo Ishii, Hiroyuki Sato, Morio Ikesaka, Kouichi Murakami, Hiroaki Ishihata |
Cellular array processor CAP and applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 1(1), pp. 57-67, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
20 | Kemal Oflazer |
A reconfigurable VLSI architecture for a database processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1983 National Computer Conference, 16-19 May 1983, Anaheim, California, USA, pp. 271-281, 1983, AFIPS Press, 0-88283-039-2. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
20 | Giovanni Mariani, Aleksandar Brankovic, Gianluca Palermo, Jovana Jovic, Vittorio Zaccaria, Cristina Silvano |
A correlation-based design space exploration methodology for multi-processor systems-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 120-125, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
design space exploration, kriging, response surface, multi-processor systems-on-chip |
20 | Satoshi Amamiya, Makoto Amamiya, Ryuzo Hasegawa, Hiroshi Fujita 0002 |
A continuation-based noninterruptible multithreading processor architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 47(2), pp. 228-252, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Parallel processing, Multithreading, Processor architecture, Thread level parallelism, Multithreaded programming |
20 | Yi Pang, WeiDong Hu, Lifeng Sun, Shiqiang Yang |
Adaptive data-driven parallelization of multi-view video coding on multi-core processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 52(2), pp. 195-205, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
adaptive data-driven, Cell Broadband Engine™ Processor, parallelization, multi-view video coding |
20 | Joo-Young Kim 0001, Seungjin Lee 0001, Jinwook Oh, Minsu Kim, Hoi-Jun Yoo |
A 60fps 496mW multi-object recognition processor with workload-aware dynamic power management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 365-370, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
energy efficient object recognition, multimedia processor, workload-aware dynamic power management |
20 | Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay |
Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 484-495, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism |
20 | Jorgen Peddersen, Sri Parameswaran |
Low-Impact Processor for Dynamic Runtime Power Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 25(1), pp. 52-62, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low-impact processor, runtime power management, power estimation, energy aware, macromodeling, counters |
20 | Jongeun Lee, Aviral Shrivastava |
Static analysis of processor stall cycle aggregation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 25-30, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
memory bound loops, processor free time, stall cycle aggregation, embedded systems, low power, code transformation |
20 | Tanya René Beelders, Pieter J. Blignaut, Theo McDonald, Engela Dednam |
Novice Word Processor User Performance with Pictorial and Text Icons. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCHI ![In: Computer-Human Interaction, 8th Asia-Pacific Conference, APCHI 2008, Seoul, Korea, July 6-9, 2008, Proceedings, pp. 354-361, 2008, Springer, 978-3-540-70584-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Word processor, Usability, Text, Icons |
20 | Valeri Kirischian, Vadim Geurkov, Lev Kirischian |
A multi-mode video-stream processor with cyclically reconfigurable architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 105-106, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cost-performance ratio, video-stream processor, FPGA, computer architecture, reconfigurable computing, dynamic reconfiguration, pre-fetching, temporal partitioning |
20 | Darshika G. Perera, Kin Fun Li |
Parallel Computation of Similarity Measures Using an FPGA-Based Processor Array. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 22nd International Conference on Advanced Information Networking and Applications, AINA 2008, GinoWan, Okinawa, Japan, March 25-28, 2008, pp. 955-962, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
data mining, similarity measures, processor array |
20 | Hans G. Kerkhoff, Jarkko J. M. Huijts |
Testing of a Highly Reconfigurable Processor Core for Dependable Data Streaming Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 4th IEEE International Symposium on Electronic Design, Test and Applications, DELTA 2008, Hong Kong, January 23-25, 2008, pp. 38-44, 2008, IEEE Computer Society, 978-0-7695-3110-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
reconfigurable multi-processor-cores SoC, embedded system test, dependable SoCs, ATPG, Design-for-Test, self-repair |
20 | Andy Lambrechts, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Diederik Verkest |
Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 201-207, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Interconnect-Aware Design, Low Power, Processor Architecture, Energy-Aware Design |
20 | Wenjing Rao, Alex Orailoglu, Ramesh Karri |
Towards Nanoelectronics Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 23(2-3), pp. 235-254, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
fault tolerance, reliability, computational model, processor architecture, nanoelectronics, time redundancy, hardware redundancy |
20 | Medha Shukla Sarkar, Abisoye Mudasiru, Nilanjan Sarkar |
Design and implementation of a command processor for high level human-robot interaction system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 45th Annual Southeast Regional Conference, 2007, Winston-Salem, North Carolina, USA, March 23-24, 2007, pp. 209-214, 2007, ACM, 978-1-59593-629-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
TXL, command processor, transformation languages |
20 | Flavius Gruian, Mark Westmijze |
BlueJEP: a flexible and high-performance Java embedded processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JTRES ![In: Proceedings of the 5th International Workshop on Java Technologies for Real-time and Embedded Systems, JTRES 2007, Institute of Computer Engineering, Vienna University of Technology, 26-28 September 2007, Vienna, Austria, pp. 222-229, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
embedded systems, Java processor, Bluespec |
20 | Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir |
Supporting multithreading in configurable soft processor cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 155-159, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
soft processor cores, multithreading |
20 | Ying Zhang 0032, Gen Li 0002, Xuejun Yang, Kun Zeng |
Optimizing Stream Organization to Improve the Performance of Scientific Computing Applications on the Stream Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 7th International Conference, ICA3PP 2007, Hangzhou, China, June 11-14, 2007, Proceedings, pp. 198-209, 2007, Springer, 978-3-540-72904-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
stream reusing, stream transpose, inter-cluster communication, cluster, parallel computing, Scientific computing, SIMD, stream processor, stream programming model |
20 | An'an Luo, Chuang Lin 0002, Zhen Chen 0001, Xuehai Peng, Peter D. Ungsunan |
TNC-compatible NAC System implemented on Network Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 32nd Annual IEEE Conference on Local Computer Networks (LCN 2007), 15-18 October 2007, Clontarf Castle, Dublin, Ireland, Proceedings, pp. 1069-1075, 2007, IEEE Computer Society, 0-7695-3000-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
TNC, AES algorithm, network processor, network access control |
20 | Eitan Altman, Konstantin Avrachenkov, Urtzi Ayesta |
A survey on discriminatory processor sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 53(1-2), pp. 53-63, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Discriminatory Processor Sharing, Conservation Law, Asymptotic Analysis, M/G/1 |
20 | Robert C. Hampshire, Mor Harchol-Balter, William A. Massey |
Fluid and diffusion limits for transient sojourn times of processor sharing queues with time varying rates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 53(1-2), pp. 19-30, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Diffusion limits, Time-varying queues, Uniform acceleration, Virtual customers, Processor sharing, Sojourn times, Transient behavior, Fluid limits |
20 | Dharani Sankar Vijayakumar, S. Vijay Ram |
A network processor implementation for solving the ACK implosion problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 44st Annual Southeast Regional Conference, 2006, Melbourne, Florida, USA, March 10-12, 2006, pp. 732-733, 2006, ACM, 1-59593-315-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
ACK implosion, Acknowledgement (ACK), Intel IXP 2400, Network Processor (NP), multicast clients, multicast server |
20 | H. Christian Gromoll, Philippe Robert, Bert Zwart, Richard Bakker |
The impact of reneging in processor sharing queues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS/Performance ![In: Proceedings of the Joint International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS/Performance 2006, Saint Malo, France, June 26-30, 2006, pp. 87-96, 2006, ACM, 1-59593-319-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
measure valued process, queues in overload, queues with impatience, admission control, user behavior, processor sharing, fluid limits, delay-differential equation |
20 | Robert Ronan, Colm O'hEigeartaigh, Colin C. Murphy, Michael Scott, Tim Kerins, William P. Marnane |
An Embedded Processor for a Pairing-Based Cryptosystem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Third International Conference on Information Technology: New Generations (ITNG 2006), 10-12 April 2006, Las Vegas, Nevada, USA, pp. 192-197, 2006, IEEE Computer Society, 0-7695-2497-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
ç pairing, genus 2 hyperelliptic, characteristic 2, pairing-based cryptosystem, dedicated processor |
20 | Mei Wen, Nan Wu 0003, Changqing Xun, Wei Wu, Chunyuan Zhang |
Optimization and Evaluating of StreamYGX2 on MASA Stream Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 531-537, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Ygx2, 2D Lagrange-Euler Method, scientific computing, stream processor |
20 | Zhichun Zhu, Xiaodong Zhang 0001 |
Look-Ahead Architecture Adaptation to Reduce Processor Power Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(4), pp. 10-19, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Low-power design, Processor Architectures |
20 | Mancia Anguita, J. Manuel Martinez-Lechado |
MP3 Optimization Exploiting Processor Architecture and using Better Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(3), pp. 81-92, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
D.3.4.g Optimization, C.1 Processor Architectures, C.1.2.j SIMD processors, E.4.a Data compaction and compression, J.9.c Multimedia applications and multimedia signal processing |
20 | Yung-Chi Chang, Chao-Chih Huang, Wei-Min Chao, Liang-Gee Chen |
An Efficient Embedded Bitstream Parsing Processor for MPEG-4 Video Decoding System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 41(2), pp. 183-191, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
bitstream parsing processor, data partitioned bitstream parsing, MPEG-4, video decoding |
20 | Jih-Fu Tu |
Cache Management for Discrete Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Third International Symposium, ISPA 2005, Nanjing, China, November 2-5, 2005, Proceedings, pp. 205-215, 2005, Springer, 3-540-29769-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency |
20 | Liang Yang, Tushar Gohad, Pavel Ghosh, Devesh Sinha, Arunabha Sen, Andréa W. Richa |
Resource mapping and scheduling for heterogeneous network processor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2005 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2005, Princeton, New Jersey, USA, October 16-18, 2005, pp. 19-28, 2005, ACM, 1-59593-082-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
HW-SW partitioning, network processor, codesign, randomized rounding |
20 | Rong-Tai Liu, Nen-Fu Huang, Chih-Hao Chen, Chia-Nan Kao |
A fast string-matching algorithm for network processor-based intrusion detection system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 3(3), pp. 614-633, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
network, Intrusion detection, pattern matching, processor |
20 | Richard B. Kujoth, Chi-Wei Wang, Derek B. Gottlieb, Jeffrey J. Cook, Nicholas P. Carter |
A reconfigurable unit for a clustered programmable-reconfigurable processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, FPGA 2004, Monterey, California, USA, February 22-24, 2004, pp. 200-209, 2004, ACM, 1-58113-829-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
FPGA, technology scaling, reconfigurable processor |
20 | Pierre G. Paulin, Chuck Pilkington, Michel Langevin, Essaid Bensoudane, Gabriela Nicolescu |
Parallel programming models for a multi-processor SoC platform applied to high-speed traffic management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 48-53, 2004, ACM, 1-58113-937-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
system-on-chip, embedded software, multi-processor systems |
20 | Hideaki Yanagisawa, Minoru Uehara, Hideki Mori |
Development Methodology of ASIP Based on Java Byte Code Using HW/SW Co-Design System for Processor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 24th International Conference on Distributed Computing Systems Workshops (ICDCS 2004 Workshops), 23-24 March 2004, Hachioji, Tokyo, Japan, pp. 831-837, 2004, IEEE Computer Society, 0-7695-2087-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
HW/SW Codesign system, C-DASH, ASIP, Java processor, ISA |
20 | Marcus Bednara, Jürgen Teich |
Automatic Synthesis of FPGA Processor Arrays from Loop Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 26(2), pp. 149-165, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
regular processor arrays, FPGA, design automation, space-time mapping |
20 | Moonsoo Kang, Chansu Yu, Hee Yong Youn, Ben Lee, Myungchul Kim 0001 |
Isomorphic Strategy for Processor Allocation in k-Ary n-Cube Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(5), pp. 645-657, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
performance evaluation, partitioning, job scheduling, processor allocation, k-ary n-cube |
20 | Karl-Heinz Zimmermann |
A Special Purpose Array Processor Architecture for the Molecular Dynamics Simulation of Point-Mutated Proteins. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 35(3), pp. 297-309, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
point mutation, penicillin amidase, parallel processing, molecular dynamics, protein, array processor |
20 | Dino Oliva, Rainer Buchty, Nevin Heintze |
AES and the cryptonite crypto processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 198-209, 2003, ACM, 1-58113-676-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
round key generation, architecture, cryptography, AES, processor, high-speed, software implementation, high-bandwidth |
20 | Jason Stinson, Stefan Rusu |
A 1.5GHz third generation itanium® 2 processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 706-709, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
on-die cache, reliability, test, design methodology, processor |
20 | Li Chen, Xiaoliang Bai, Sujit Dey |
Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 18(4-5), pp. 529-538, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
interconnect, crosstalk, processor, self-test |
20 | Andreas Svolos, Charalampos Konstantopoulos, Christos Kaklamanis |
A Parallel Solution in Texture Analysis Employing a Massively Parallel Processor (Research Note). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 431-435, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
hypercube, texture analysis, co-occurrence matrix, massively parallel processor |
20 | Juha-Pekka Soininen, Jari Kreku, Yang Qu, Martti Forsell |
Fast processor core selection for WLAN modem using mappability estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES ![In: Proceedings of the Tenth International Symposium on Hardware/Software Codesign, CODES 2002, Estes Park, Colorado, USA, May 6-8, 2002, pp. 61-66, 2002, ACM, 1-58113-542-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
mappability estimation, processor architecture evaluation, codesign, cost function |
20 | Jack Liu, Fred C. Chow |
A near-optimal instruction scheduler for a tightly constrained, variable instruction set embedded processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 9-18, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling |
20 | Rong Lin |
Trading Bitwidth For Array Size: A Unified Reconfigurable Arithmetic Processor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA, pp. 325-330, 2001, IEEE Computer Society, 0-7695-1025-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
IP design, inner product processor, matrix multiplier, run-time reconfigurable architecture, SOC, array multiplier, polynomial evaluation |
20 | Tadayoshi Horita, Itsuo Takanami |
Fault-Tolerant Processor Arrays Based on the 1½-Track Switches with Flexible Spare Distributions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(6), pp. 542-552, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
The 1$frac{1}{2}$-track switch model, reconfiguration, yield enhancement, wafer scale integration, mesh-connected processor arrays |
20 | Sivarama P. Dandamudi, Samir Ayachi |
Performance of Hierarchical Processor Scheduling in Shared-Memory Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(11), pp. 1202-1213, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
performance evaluation, multiprocessor systems, processor scheduling, time-sharing, Hierarchical scheduling, space-sharing |
20 | Peter M. Kuhn |
Fast MPEG-4 Motion Estimation: Processor Based and Flexible VLSI Implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 23(1), pp. 67-92, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
algorithm, VLSI, motion estimation, MPEG-4, processor, complexity analysis |
20 | Takeiki Aizono, Katsumi Kawano, Masahiro Ohashi, Makoto Kogure |
An Object Model of Autonomous Control Processor and the Message Selection Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISADS ![In: The Fourth International Symposium on Autonomous Decentralized Systems, ISADS 1999, Tokyo, Japan, March 20-23, 1999, pp. 58-64, 1999, IEEE Computer Society, 0-7695-0137-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
ADS (Autonomous Decentralized System), ACP (Autonomous Control Processor), fault-tolerance, scalability, maintainability |
20 | Gerassimos D. Barlas |
Collection-Aware Optimum Sequencing of Operations and Closed-Form Solutions for the Distribution of a Divisible Load on Arbitrary Processor Trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(5), pp. 429-441, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Optimum load distribution, optimum distribution and collection sequencing, data-parallel applications, arbitrary processor trees, collection-aware load distribution |
20 | Alan S. Wagner, Halsur V. Sreekantaswamy, Samuel T. Chanson |
Performance Models for the Processor Farm Paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(5), pp. 475-489, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
processor farm, master-slave, performance evaluation, network flow, tree networks, Parallel programming paradigms, message passing architecture |
20 | Byung S. Yoo, Chita R. Das |
Good Processor Management = Fast Allocation + Efficient Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1997 International Conference on Parallel Processing (ICPP '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings, pp. 280-287, 1997, IEEE Computer Society, 0-8186-8108-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Group scheduling policy, processor management, stack-based allocation algorithm, operating systems, mesh-connected multicomputers |
20 | B. Madhu Rao, Sub Ramakrishnan |
Queueing Models for a Single Server LAN with Processor Sharing Disciplines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computing ![In: Computing 57(3), pp. 225-244, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
queueing local area networks, Markov chains, processor sharing, file server |
20 | Dirk Fimmel, Renate Merker |
Propagation of I/O-Variables in Massively Parallel Processor Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 4th Euromicro Workshop on Parallel and Distributed Processing (PDP '96), January 24-26, 1996, Portugal, pp. 501-509, 1996, IEEE Computer Society, 0-8186-7376-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
parallel processor arrays, systems of recurrence equations, automatic design methods, massive parallelism |
20 | Vijay S. Iyengar, Louise Trevillyan, Pradip Bose |
Representative Traces for Processor Models with Infinite Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 62-72, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
dynamic traces, performance evaluation, timer, processor design |
20 | David Feldcamp, Alan Wagner |
Using the Parsec environment to implement a high-performance processor farm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (2) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 212-221, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Parsec, high-performance processor farm, multicomputer programs, context-sensitive configuration, hand-optimized implementations, declarative structural information, parallel application structure specification, performance evaluation, performance, user interfaces, user interface, scalability, parallel programming, parallel architectures, static analysis, message passing, reuse, abstraction, optimisation, programming environments, software reusability, parallel programming environment, communication primitives |
20 | Heung-Nam Kim, Mary Jane Irwin, Robert Michael Owens |
Motion Estimation Algorithms on Fine Grain Array Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: The International Conference on Application Specific Array Processors (ASAP'95), July 24-26, 1995, Strasbourg, France, pp. 204-213, 1995, IEEE Computer Society, 0-8186-7109-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
motion estimation, video compression, array processor, block matching, massively parallel processing |
20 | Giuseppe Ascia, Vincenzo Catania |
Design of a VLSI parallel processor for fuzzy computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 315-320, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
VLSI parallel processor, fuzzy computing, /spl alpha/-level sets theory, memory resources, processing units, 50 MHz, scalability, VLSI, parallelism, fuzzy logic, parallel architectures, inference mechanisms, fuzzy set theory, integrated circuit design, microprocessor chips, membership functions, fuzzy inferences, clock frequency, 8 bit |
20 | Ali Skaf, Alain Guyot |
SAGA: the first general-purpose on-line arithmetic co-processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 146-149, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
general-purpose co-processor, online arithmetic coprocessor, VLSI realisation, BKM algorithm, complex logarithm function, complex exponential function, VLSI, arithmetic, coprocessors, CMOS digital integrated circuits, redundant number systems, CMOS IC, SAGA |
20 | Egon Börger, Giuseppe Del Castillo |
A formal method for provably correct composition of a real-life processor out of basic components. (The APE100 Reverse Engineering Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 1st IEEE International Conference on Engineering of Complex Computer Systems (ICECCS '95), November 6-10, 1995, Fort Lauderdale, Florida, USA, pp. 145-148, 1995, IEEE Computer Society, 0-8186-7123-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
real-life processor, APE100 Reverse Engineering, modular structuring, microprocessor zCPU, APE100 massively parallel machine, provably correct composition, formal specification, formal method, reverse engineering, parallel architectures |
20 | Kiran M. Rege, Bhaskar Sengupta |
A decomposition theorem and related results for the discriminatory processor sharing queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 18(3-4), pp. 333-351, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
delay, Queueing, processor sharing, sojourn time |
20 | Louise E. Moser, P. M. Melliar-Smith, Vivek Agrawala |
Processor Membership in Asynchronous Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(5), pp. 459-473, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
asynchronous distributedsystems, processor membership, broadcast messages, membership protocol, totalorder, fault tolerance, distributed systems, protocols, fault tolerant computing, distributed processing, reconfiguration, asynchrony, broadcast communication |
20 | Massimo Maresca |
Polymorphic Processor Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(5), pp. 490-506, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
polymorphic processor arrays, mesh-connected arrays, PPA, low complexity algorithms, PPA programming model, computational complexity, parallel processing, parallel computers, parallel architectures, multiprocessor interconnection networks |
20 | Chris J. Scheiman, Peter R. Cappello |
A Processor-Time-Minimal Systolic Array for Transitive Closure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 3(3), pp. 257-269, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
processor-time-minimal multiprocessor schedules, 2-D mesh, parallel algorithms, systolic array, systolic arrays, directed acyclic graph, multiprocessor schedule, transitive closure |
20 | Sy-Yen Kuo, Kuochen Wang |
Fault diagnosis in reconfigurable VLSI and WSI processor arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 2(3), pp. 173-187, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
design for diagnosability, VLSI/WSI processor array, fault diagnosis, reconfiguration, yield enhancement |
20 | Michael Deering, Stephanie Winner, Bic Schediwy, Chris Duffy, Neil Hunt |
The triangle processor and normal vector shader: a VLSI system for high performance graphics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 15th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1988, Atlanta, Georgia, USA, August 1-5, 1988, pp. 21-30, 1988, ACM, 0-89791-275-6. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
graphics VLSI, hardware lighting models, real-time image display, triangle processor, interpolation, shading |
20 | Grant Martin |
Processor Stew (review of Processor Description Languages by P. Mishra and N. Dutt, Eds.; 2008) [Book reviews]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 26(2), pp. 76-77, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | H. Peter Hofstee |
Power Efficient Processor Architecture and The Cell Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 258-262, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Silvia M. Müller, Christian Jacobi 0002, Hwa-Joon Oh, Kevin D. Tran, Scott R. Cottier, Brad W. Michael, Hiroo Nishikawa, Yonetaro Totsuka, Tatsuya Namatame, Naoka Yano, Takashi Machida, Sang H. Dhong |
The Vector Floating-Point Unit in a Synergistic Processor Element of a CELL Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 17th IEEE Symposium on Computer Arithmetic (ARITH-17 2005), 27-29 June 2005, Cape Cod, MA, USA, pp. 59-67, 2005, IEEE Computer Society, 0-7695-2366-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Vitus J. Leung, Esther M. Arkin, Michael A. Bender, David P. Bunde, Jeanette Johnston, Alok Lal, Joseph S. B. Mitchell, Cynthia A. Phillips, Steven S. Seiden |
Processor Allocation on Cplant: Achieving General Processor Locality Using One-Dimensional Allocation Strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2002 IEEE International Conference on Cluster Computing (CLUSTER 2002), 23-26 September 2002, Chicago, IL, USA, pp. 296-304, 2002, IEEE Computer Society, 0-7695-1745-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Ken-ichiro Murakami |
A Pseudo Network Approach to Inter-processor Communication on a Shared-memory Multi-processor MacELIS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Parallel Lisp ![In: Parallel Lisp: Languages and Systems, US/Japan Workshop on Parallel Lisp, Sendai, Japan, June 5-8, 1989, Proceedings, pp. 300-305, 1989, Springer, 3-540-52782-6. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
20 | Thomas G. Price |
A Note on the Effect or the Central Processor Service Time Distribution on Processor Utilization in Multiprogrammed Computer Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 23(2), pp. 342-346, 1976. The full citation details ...](Pics/full.jpeg) |
1976 |
DBLP DOI BibTeX RDF |
|
18 | Cosmin E. Oancea, Alan Mycroft, Stephen M. Watt |
A new approach to parallelising tracing algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 8th International Symposium on Memory Management, ISMM 2009, Dublin, Ireland, June 19-20, 2009, pp. 10-19, 2009, ACM, 978-1-60558-347-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
memory-centric tracing algorithm, parallel |
18 | Huiyang Zhou |
A case for fault tolerance and performance enhancement using chip multi-processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 5(1), pp. 22-25, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Rama Sangireddy |
Reducing Rename Logic Complexity for High-Speed and Low-Power Front-End Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(6), pp. 672-685, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Wide-issue processors, integer pipeline, rename logic complexity, front-end power consumption |
18 | Alex K. Jones, Raymond Hoare, Dara Kusic, Gayatri Mehta, Joshua Fazekas, John Foster 0001 |
Reducing power while increasing performance with supercisc. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 5(3), pp. 658-686, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Low-power, synthesis, VLIW, predication, multicore architectures |
18 | V. Santhosh Kumar, Matthew J. Thazhuthaveetil, R. Govindarajan |
Exploiting programmable network interfaces for parallel query execution in workstation clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Attila Egri-Nagy, Chrystopher L. Nehaniv |
Evolvability of the Genotype-Phenotype Relation in Populations of Self-Replicating Digital Organisms in a Tierra-Like System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECAL ![In: Advances in Artificial Life, 7th European Conference, ECAL 2003, Dortmund, Germany, September 14-17, 2003, Proceedings, pp. 238-247, 2003, Springer, 3-540-20057-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Takayuki Osogami, Mor Harchol-Balter, Alan Scheller-Wolf |
Analysis of cycle stealing with switching cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2003, June 9-14, 2003, San Diego, CA, USA, pp. 184-195, 2003, ACM, 1-58113-664-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
matrix analytic, distributed system, supercomputing, task assignment, load sharing, starvation, cycle stealing, unfairness, server farm |
18 | Ewa Z. Bem, Luke Petelczyc |
MiniMIPS: a simulation project for the computer architecture laboratory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 34th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 2003, Reno, Nevada, USA, February 19-23, 2003, pp. 64-68, 2003, ACM, 1-58113-648-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
simulation in lab environments, computer architecture |
18 | Hon Nin Cheung, Li-Minn Ang, Kamran Eshraghian |
Parallel Architecture for the Implementation of the Embedded Zerotree Wavelet Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACAC ![In: 5th Australasian Computer Architecture Conference (ACAC 2000), 31 January - 3 February 2000, Canberra, Australia, pp. 3-8, 2000, IEEE Computer Society, 0-7695-0512-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
EZW, Parallel processing, image coding |
18 | Alan A. Bertossi, Luigi V. Mancini, Federico Rossini |
Fault-Tolerant Rate-Monotonic First-Fit Scheduling in Hard-Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 10(9), pp. 934-945, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Fault tolerance, multiprocessor systems, hard-real-time systems, periodic tasks, rate-monotonic scheduling, task replication |
18 | Santosh G. Abraham, Scott A. Mahlke |
Automatic and Efficient Evaluation of Memory Hierarchies for Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 114-125, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
18 | Srikat Subramaniam, Derek L. Eager |
Affinity scheduling of unbalanced workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '94, Washington, DC, USA, November 14-18, 1994, pp. 214-226, 1994, IEEE Computer Society, 0-8186-6605-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
18 | Bruce Chittenden, James Hyde, Jeffrey P. Radick |
A scheme for terminal I/O not requiring interrupts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 20th Annual Southeast Regional Conference, 1982, Knoxville, Tennessee, USA, April 1-3, 1982, pp. 66-72, 1982, ACM, 0-89791-071-0. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
18 | Paula B. Hawthorn |
The Effect of Target Applications on the Design of Database Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1981 ACM SIGMOD International Conference on Management of Data, Ann Arbor, Michigan, USA, April 29 - May 1, 1981, pp. 188-197, 1981, ACM Press, 978-0-89791-040-8. The full citation details ...](Pics/full.jpeg) |
1981 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 25938 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|