The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sizing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1980 (15) 1981-1985 (22) 1986-1988 (22) 1989-1990 (30) 1991 (16) 1992 (16) 1993 (26) 1994 (24) 1995 (33) 1996 (38) 1997 (53) 1998 (54) 1999 (49) 2000 (66) 2001 (80) 2002 (83) 2003 (99) 2004 (138) 2005 (159) 2006 (180) 2007 (179) 2008 (222) 2009 (137) 2010 (124) 2011 (131) 2012 (109) 2013 (117) 2014 (116) 2015 (137) 2016 (129) 2017 (151) 2018 (162) 2019 (192) 2020 (183) 2021 (188) 2022 (178) 2023 (166) 2024 (43)
Publication types (Num. hits)
article(1906) book(3) data(1) incollection(16) inproceedings(1901) phdthesis(39) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1250 occurrences of 693 keywords

Results
Found 3867 publication records. Showing 3867 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Sean X. Shi, David Z. Pan Wire sizing with scattering effect for nanoscale interconnection. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Srikant Nalatwad, Michael Devetsikiotis A Framework for Adaptive Wavelet Prediction in Self-Sizing Networks. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tom Van Court, Martin C. Herbordt Sizing of Processing Arrays for FPGA-Based Computation. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Martijn Coenen, Srinivasan Murali, Andrei Radulescu, Kees Goossens, Giovanni De Micheli A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF systems-on-chip, networks-on-chip, buffers, area
19Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Decoupling capacitor planning and sizing for noise and leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D floorplanning, power supply noise, decoupling capacitors, leakage power reduction
19Mihir R. Choudhury, Quming Zhou, Kartik Mohanram Design optimization for single-event upset robustness using simultaneous dual-VDD and sizing techniques. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Timothy G. Constandinou, Chris Toumazou A micropower vision processor for parallel object positioning and sizing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jafar Rezaei 0001, Mansoor Davoodi Genetic Algorithm for Inventory Lot-Sizing with Supplier Selection Under Fuzzy Demand and Costs. Search on Bibsonomy IEA/AIE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Sampath Dechu, Zion Cien Shen, Chris C. N. Chu An efficient routing tree construction algorithm with buffer insertion, wire sizing, and obstacle considerations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Kai Wang 0011, Yajun Ran, Hailin Jiang, Malgorzata Marek-Sadowska General skew constrained clock network sizing based on sequential linear programming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19João Pedro Pedroso, Mikio Kubo Hybrid Tabu Search for Lot Sizing Problems. Search on Bibsonomy Hybrid Metaheuristics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Sankalp Kallakuri, Alex Doboli, Eugene A. Feinberg Buffer Insertion for Bridges and Optimal Buffer Sizing for Communication Sub-System of Systems-on-Chip. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Aseem Agarwal, Kaviraj Chopra, David T. Blaauw Statistical Timing Based Optimization using Gate Sizing. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Anand Ramalingam, Bin Zhang 0011, Anirudh Devgan, David Z. Pan Sleep transistor sizing using timing criticality and temporal currents. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Jerzy Duda Lot-Sizing in a Foundry Using Genetic Algorithm and Repair Functions. Search on Bibsonomy EvoCOP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Zhikui Wang, Xiaoyun Zhu, Sharad Singhal Utilization and SLO-Based Control for Dynamic Sizing of Resource Partitions. Search on Bibsonomy DSOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Shrirang K. Karandikar, Sachin S. Sapatnekar Fast estimation of area-delay trade-offs in circuit sizing. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19José Manuel Cazeaux, Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra, Abhijit Chatterjee On Transistor Level Gate Sizing for Increased Robustness to Transient Faults. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Weizhen Yan, Jianhua Zhao, Zhe Cao Fuzzy Programming Model for Lot Sizing Production Planning Problem. Search on Bibsonomy FSKD (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Vinita V. Deodhar, Jeffrey A. Davis Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for Wave-Pipelined VLSI Global Interconnect Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Mathieu Van Vyve A solution approach of production planning problems based on compact formulations for single-item lot-sizing models. Search on Bibsonomy 4OR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chris C. N. Chu, Evangeline F. Y. Young Nonrectangular shaping and sizing of soft modules for floorplan-design improvement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ashish Srivastava, Dennis Sylvester, David T. Blaauw Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Sampath Dechu, Zion Cien Shen, Chris C. N. Chu An efficient routing tree construction algorithm with buffer insertion, wire sizing and obstacle considerations. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ivanoe De Falco, Ernesto Tarantino, Antonio Della Cioppa, Francesco Gagliardi 0001 A Genetic Algorithm with Self-sizing Genomes for Data Clustering in Dermatological Semeiotics. Search on Bibsonomy WSC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Feng Chu 0001, Chengbin Chu, Xiao Liu Lot sizing models with backlog or out-sourcing. Search on Bibsonomy SMC (5) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ludmila Cherkasova, Wenting Tang Sizing the streaming media cluster solution for a given workload. Search on Bibsonomy CCGRID The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Nithya N. Vijayakumar, Beth Plale Performance Evaluation of Rate-Based Join Window Sizing for Asynchronous Data Streams. Search on Bibsonomy HPDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Seung Hoon Choi, Bipul Chandra Paul, Kaushik Roy 0001 Novel sizing algorithm for yield improvement under process variation in nanometer technology. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance, Design, Algorithms, Reliability
19Ashish Srivastava, Dennis Sylvester, David T. Blaauw Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, power dissipation, multiple voltages
19Sheldon X.-D. Tan, C.-J. Richard Shi Efficient very large scale integration power/ground network sizing based on equivalent circuit modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19David M. Binkley, C. E. Hopper, Steve D. Tucker, Brian C. Moss, James M. Rochelle, Daniel Foty A CAD methodology for optimizing transistor current and sizing in analog CMOS design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif Optimal decoupling capacitor sizing and placement for standard-cell layout designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Franz Rothlauf Population Sizing for the Redundant Trivial Voting Mapping. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Miquel Albiol, José Luis González 0001, Eduard Alarcón Improved current-source sizing for high-speed high-accuracy current steering D/A converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Vikram Iyengar, Krishnendu Chakrabarty Test Bus Sizing for System-on-a-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Core-based systems, integer linear programming, linearization, test access mechanism (TAM), testing time, embedded core testing, test bus
19Wentao Shen, Michael Devetsikiotis A Self-Sizing Framework for Adaptive Resource Allocation in Label-Switched Networks. Search on Bibsonomy MASCOTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Elisa Ficarra, Luca Benini, Bruno Riccò, Giampaolo Zuccheri Automated DNA sizing in atomic force microscope images. Search on Bibsonomy ISBI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Robert Schwencker, Frank Schenkel, Michael Pronath, Helmut E. Graeb Analog Circuit Sizing Using Adaptive Worst-Case Parameter Sets. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adjoint sensitivity, optimization, placement, ASICs, decoupling capacitor, power grid noise
19Mahesh Ketkar, Sachin S. Sapatnekar Standby power optimization via transistor sizing and dual threshold voltage assignment. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Wu-chun Feng, Mike Fisk, Mark K. Gardner, Eric Weigle Dynamic Right-Sizing: An Automated, Lightweight, and Scalable Technique for Enhancing Grid Performance. Search on Bibsonomy Protocols for High-Speed Networks The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Mark K. Gardner, Wu-chun Feng, Mike Fisk Dynamic Right-Sizing in FTP (drsFTP): Enhancing Grid Performance in User-Space. Search on Bibsonomy HPDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Christoph Albrecht, Andrew B. Kahng, Ion I. Mandoiu, Alexander Zelikovsky Floorplan Evaluation with Timing-Driven Global Wireplanning, Pin Assignment and Buffer/Wire Sizing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Tamra Carpenter, Martin I. Eiger, David Shallcross, Paul D. Seymour Node Placement and Sizing for Copper Broadband Access Networks. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19P. Pant, R. K. Roy, A. Chatterjee Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Masanori Hashimoto, Hidetoshi Onodera Post-layout transistor sizing for power reduction in cell-based design. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Iris Hui-Ru Jiang, Yao-Wen Chang, Jing-Yang Jou Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Youxin Gao, D. F. Wong 0001 Wire-Sizing for Delay Minimization and Ringing Control Using Transmission Line Model. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Michael J. Engiles, Edward R. Stephan Large-scale applications: system sizing using modeling and simulation. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Pinghong Chen, Ernest S. Kuh Floorplan sizing by linear programming approximation. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Chingwei Yeh, Min-Cheng Chang, Shih-Chieh Chang, Wen-Ben Jone Power reduction through iterative gate sizing and voltage scaling. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Mircea R. Stan Optimal Voltages and Sizing for Low Power. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau Data Cache Sizing for Embedded Processor Applications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Moment-sensitivity-based wire sizing for skew reduction in on-chip clock nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin Optimal wire sizing and buffer insertion for low power and a generalized delay model. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Timing Optization, Dynamic Power Dissipation, Signal Slew, Dynamic Programming, Elmore Delay
19Fernando Medeiro, Francisco V. Fernández 0001, Rafael Domínguez-Castro, Ángel Rodríguez-Vázquez A statistical optimization-based approach for automated sizing of analog cells. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19How-Rern Lin, TingTing Hwang Dynamical identification of critical paths for iterative gate sizing. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Sachin S. Sapatnekar, Vasant B. Rao, Pravin M. Vaidya, Sung-Mo Kang An exact solution to the transistor sizing problem for CMOS circuits using convex optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Pramod V. Argade Sizing an inverter with a precise delay: generation of complementary signals with minimal skew and pulsewidth distortion in CMOS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Rajiv Dutta, Malgorzata Marek-Sadowska Automatic Sizing of Power/Ground (P/G) Networks in VLSI. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Mehmet A. Cirit Transistor Sizing in CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Sant R. Arora, A. Gallo Optimization of Static Loading and Sizing of Multilevel Memory Systems. Search on Bibsonomy J. ACM The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
15Zhanshan (Sam) Ma Towards a Population Dynamics Theory for Evolutionary Computing: Learning from Biological Population Dynamics in Nature. Search on Bibsonomy AICI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Logistic Chaos Map, Insect Populations, Survival Selection, Genetic Algorithms, Evolutionary Computing, Power Law, Fitness Landscape, Survival Analysis, Population Dynamics, Spatial Distributions
15Wei Sun Deciding model of Population Size in time-constrained task scheduling. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Paul Bogdan, Radu Marculescu Statistical physics approaches for network-on-chip traffic characterization. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fractional calculus, systems-on-chip, networks-on-chip, multi-processor systems, master equation
15Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Slew-aware clock tree design for reliable subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew, clocks, subthreshold
15Almitra Pradhan, Ranga Vemuri Efficient Synthesis of a Uniformly Spread Layout Aware Pareto Surface for Analog Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Yu Wang 0002, Ku He, Rong Luo, Hui Wang 0004, Huazhong Yang Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Shrirang K. Karandikar, Sachin S. Sapatnekar Technology Mapping Using Logical Effort for Solving the Load-Distribution Problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Priscila Solís Barreto, Paulo Henrique Portela de Carvalho Network Planning Optimization for Multimedia Networks. Search on Bibsonomy NCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, multimedia traffic, network planning
15Amitay Isaacs, Tapabrata Ray, Warren F. Smith An Efficient Hybrid Algorithm for Optimization of Discrete Structures. Search on Bibsonomy SEAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ian Kuon, Jonathan Rose Area and delay trade-offs in the circuit and architecture design of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, FPGA, architecture
15Zhanshan (Sam) Ma, Axel W. Krings Dynamic populations in genetic algorithms. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic population, ecological computation, fluctuating population, genetic algorithms, evolutionary computation
15Etienne von Lavante, J. Alison Noble Segmentation of breast cancer masses in ultrasound using radio-frequency signal derived parameters and strain estimates. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Laura E. Grit, Jeffrey S. Chase Weighted fair sharing for dynamic virtual clusters. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cluster computing, weighted fair queuing, virtual computing, fair sharing, proportional sharing
15Ranko Sredojevic, Vladimir Stojanovic Optimization-based framework for simultaneous circuit-and-system design-space exploration: a high-speed link example. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny Timing optimization in logic with interconnect. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, logic circuits, timing optimization, repeaters, logical effort
15Angan Das, Ranga Vemuri Topology synthesis of analog circuits based on adaptively generated building blocks. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF genetic algorithm, automated design, topology generation
15Chao-Yang Yeh, Malgorzata Marek-Sadowska Timing-Aware Power-Noise Reduction in Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Chin Ngai Sze, Charles J. Alpert, Jiang Hu, Weiping Shi Path-Based Buffer Insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Guido Stehr, Helmut E. Graeb, Kurt Antreich Analog Performance Space Exploration by Normal-Boundary Intersection and by Fourier-Motzkin Elimination. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Daniel Mueller 0001, Helmut E. Graeb, Ulf Schlichtmann Trade-off design of analog circuits using goal attainment and "Wave Front" sequential quadratic programming. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dinesh Patil, Omid Azizi, Mark Horowitz, Ron Ho, Rajesh Ananthraman Robust Energy-Efficient Adder Topologies. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Marcelo K. Fugihara, Alain de Norman et d'Audenhove, Neuton T. Karassawa Randomless as a critical point: simulation fitting better planning of distribution centers. Search on Bibsonomy WSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Aida Todri, Malgorzata Marek-Sadowska, Shih-Chieh Chang Analysis and optimization of power-gated ICs with multiple power gating configurations. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Y. Pardhasaradhi, Safdar Ahmed Efficiency of electronic public service delivery in India: public-private partnership as a critical factor. Search on Bibsonomy ICEGOV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Bhoomi, e-Seva, e-governance practices, public private collaboration, efficiency
15Michael Affenzeller, Stefan Wagner 0002, Stephan M. Winkler Self-adaptive Population Size Adjustment for Genetic Algorithms. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rajani Kuchipudi, Hamid Mahmoodi Strain Silicon Optimization for Memory and Logic in Nano-Scale CMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Xiaoji Ye, Yaping Zhan, Peng Li 0001 Statistical Leakage Power Minimization Using Fast Equi-Slack Shell Based Optimization. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rebecca L. Collins, Luca P. Carloni Topology-Based Optimization of Maximal Sustainable Throughput in a Latency-Insensitive System. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kiran Puttaswamy, Gabriel H. Loh Scalability of 3D-Integrated Arithmetic Units in High-Performance Microprocessors. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Minsik Cho, Hua Xiang 0001, Ruchir Puri, David Z. Pan TROY: Track Router with Yield-driven Wire Planning. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Neda Beheshti, Jad Naous, Yashar Ganjali, Nick McKeown Experimenting with buffer sizes in routers. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Debjit Sinha, Hai Zhou 0001 Gate-size optimization under timing constraints for coupling-noise reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Hang Si On Refinement of Constrained Delaunay Tetrahedralizations. Search on Bibsonomy IMR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yu Wang 0002, Yongpan Liu, Rong Luo, Huazhong Yang, Hui Wang 0004 Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current reduction, two-phase fine-grain sleep transistor insertion, mixed integer linear programming
15Vivek Joshi, Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Logic SER Reduction through Flipflop Redesign. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Andrew Havlir, David Z. Pan Simultaneous Statistical Delay and Slew Optimization for Interconnect Pipelines. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 3867 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license