The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Coverage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1973 (15) 1974-1979 (17) 1980-1982 (19) 1983-1984 (15) 1985-1986 (33) 1987-1988 (48) 1989 (28) 1990 (38) 1991 (46) 1992 (60) 1993 (61) 1994 (85) 1995 (117) 1996 (148) 1997 (132) 1998 (161) 1999 (219) 2000 (262) 2001 (274) 2002 (379) 2003 (430) 2004 (599) 2005 (716) 2006 (876) 2007 (1051) 2008 (1122) 2009 (867) 2010 (586) 2011 (469) 2012 (516) 2013 (552) 2014 (620) 2015 (609) 2016 (686) 2017 (826) 2018 (739) 2019 (857) 2020 (812) 2021 (876) 2022 (922) 2023 (974) 2024 (211)
Publication types (Num. hits)
article(7099) book(14) data(4) incollection(42) inproceedings(10839) phdthesis(75)
Venues (Conferences, Journals, ...)
CoRR(1052) IEEE Trans. Comput. Aided Des....(224) IEEE Access(222) Sensors(194) VTS(192) J. Electron. Test.(186) ITC(185) ICC(177) VTC Spring(168) ICRA(162) Asian Test Symposium(155) GLOBECOM(150) PIMRC(147) DATE(140) WCNC(134) IEEE Trans. Wirel. Commun.(132) More (+10 of total 3142)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8773 occurrences of 3334 keywords

Results
Found 18073 publication records. Showing 18073 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Tzung-Pei Hong, Li-Huei Tseng, Been-Chian Chien Learning coverage rules from incomplete data based on rough sets. Search on Bibsonomy SMC (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Maxim A. Batalin, Gaurav S. Sukhatme Coverage, Exploration, and Deployment by a Mobile Robot and Communication Network. Search on Bibsonomy IPSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Chen Fu, Richard P. Martin, Kiran Nagaraja, Thu D. Nguyen, Barbara G. Ryder, David Wonnacott Compiler-Directed Program-Fault Coverage for Highly Available Java Internet Services. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23David Leon, Andy Podgurski A Comparison of Coverage-Based and Distribution-Based Techniques for Filtering and Prioritizing Test Cases. Search on Bibsonomy ISSRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23S. Regimbal, Jean-Francois Lemire, Yvon Savaria, Guy Bois, El Mostapha Aboulhamid, A. Baron Automating Functional Coverage Analysis Based on an Executable Specification. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Yung-Ruei Chang, Suprasad V. Amari, Sy-Yen Kuo Reliability Evaluation of Multi-state Systems Subject to Imperfect Coverage using OBDD. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Mukund Sivaraman, Andrzej J. Strojwas Path delay fault diagnosis and coverage-a metric and an estimationtechnique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Hana Chockler, Orna Kupferman, Robert P. Kurshan, Moshe Y. Vardi A Practical Approach to Coverage in Model Checking. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Neta Aizenbud-Reshef Coverage Analysis for Message Flows. Search on Bibsonomy ISSRE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Mrinal Bose, Elizabeth M. Rudnick, Magdy S. Abadir Automatic Bias Generation Using Pipeline Instruction State Coverage for Biased Random Instruction Generation. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Jayant Deodhar, Spyros Tragoudas Color Counting and its Application to Path Delay Fault Coverage. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Robert C. Aitken Extending the Pseudo-Stuck-At Fault Model to Provide Complete IDDQ Coverage. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Dimitrios Kagaris, Spyros Tragoudas, Dimitrios Karayiannis Improved nonenumerative path-delay fault-coverage estimation based on optimal polynomial-time algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Aarti Gupta, Sharad Malik, Pranav Ashar Toward Formalizing a Validation Methodology Using Simulation Coverage. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Saileshwar Krishnamurthy, Aditya P. Mathur On predicting reliability of modules using code coverage. Search on Bibsonomy CASCON The full citation details ... 1996 DBLP  BibTeX  RDF
23Hassan A. Farhat, Steven G. From A beta model for estimating the testability and coverage distributions of a VLSI circuit. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Gabriel M. Silberman, Ilan Y. Spillinger Using functional fault simulation and the difference fault model to estimate implementation fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto Evaluation and improvement of fault coverage for verification and validation of protocols. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Shambhu J. Upadhyaya, Kewal K. Saluja A new approach to the design of built-in self-testing PLAs for high fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Wolfgang Grieskamp, Xiao Qu, Xiangjun Wei, Nicolas Kicillof, Myra B. Cohen Interaction Coverage Meets Path Coverage by SMT Constraint Solving. Search on Bibsonomy TestCom/FATES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Stefan Nagy, Anh Nguyen-Tuong, Jason D. Hiser, Jack W. Davidson, Matthew Hicks Same Coverage, Less Bloat: Accelerating Binary-only Fuzzing with Coverage-preserving Coverage-guided Tracing. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Stefan Nagy, Anh Nguyen-Tuong, Jason D. Hiser, Jack W. Davidson, Matthew Hicks Same Coverage, Less Bloat: Accelerating Binary-only Fuzzing with Coverage-preserving Coverage-guided Tracing. Search on Bibsonomy CCS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Joel W. Burdick, Amanda Bouman, Elon Rimon From Multi-Target Sensory Coverage to Complete Sensory Coverage: An Optimization-Based Robotic Sensory Coverage Approach. Search on Bibsonomy ICRA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Phil McMinn, Mark Harman, Gordon Fraser 0001, Gregory M. Kapfhammer Automated search for good coverage criteria: moving from code coverage to fault coverage through search-based software engineering. Search on Bibsonomy SBST@ICSE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Xiu Deng, Jiguo Yu, Dongxiao Yu, Congcong Chen Transforming Area Coverage to Target Coverage to Maintain Coverage and Connectivity for Wireless Sensor Networks. Search on Bibsonomy Int. J. Distributed Sens. Networks The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Chih-Yung Chang, Chao-Tsun Chang, Chen-Yu Hsieh, Cheng-Chang Chen, Yu-Chieh Chen A dead-end free deployment algorithm for wireless sensor networks with obstacles. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dead-end problem, wireless sensor network, coverage, deployment
21Peter Lisherness, Kwang-Ting (Tim) Cheng SCEMIT: a systemc error and mutation injection tool. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF high-level synthesis, coverage, SystemC, mutation
21Svilen Ivanov, Edgar Nett, André Herms, Daniel Mahrenholz, Stefan Schemmer Feedback-controlled WLAN: Achieving Channel-Availability in Mesh Networks. Search on Bibsonomy NCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF channel availability, coverage planning, localization, wireless mesh networks
21Stephan Weißleder, Bernd-Holger Schlingloff Quality of Automatically Generated Test Cases based on OCL Expressions. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF UML, Test Generation, OCL, Boundary, Coverage Criteria
21Yuh-Ren Tsai, Kai-Jie Yang, Sz-Yi Yeh Non-Uniform Node Deployment for Lifetime Extension in Large-Scale Randomly Distributed Wireless Sensor Networks. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Wireless sensor network (WSN), routing, energy consumption, deployment, sensing coverage
21Trung T. Dinh-Trong, Birgit Geppert, J. Jenny Li, Frank Rößler Looking for More Confidence in Refactoring? How to Assess Adequacy of Your Refactoring Tests. Search on Bibsonomy QSIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, refactoring, dominator, control flow graph, code coverage, test adequacy criteria
21Tsong Yueh Chen, Fei-Ching Kuo, Huai Liu, W. Eric Wong Does Adaptive Random Testing Deliver a Higher Confidence than Random Testing? Search on Bibsonomy QSIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Failure-Based Testing, Test Coverage Criteria, Random Testing, Adaptive Random Testing
21Xiaochun Xu, Sartaj Sahni Approximation Algorithms for Sensor Deployment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF point coverage, multimodal sensors, Wireless sensor networks, approximation algorithm
21Andrea Fedeli, Franco Fummi, Graziano Pravadelli Properties Incompleteness Evaluation by Functional Verification. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF property coverage, Model checking, fault models, functional verification
21George Sobral Silveira, Karina R. G. da Silva, Elmar U. K. Melcher Functional verification of an MPEG-4 decoder design using a random constrained movie generator. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VeriSC, randmovie, stimuli, verification, SystemC, movie, functional coverage
21Kobi Inkumsah, Tao Xie 0001 Evacon: a framework for integrating evolutionary and concolic testing for object-oriented programs. Search on Bibsonomy ASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF structural coverage, test generation
21Chen Fu, Ana L. Milanova, Barbara G. Ryder, David Wonnacott Robustness Testing of Java Server Applications. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF def-use testing, test coverage metrics, Java, Reliability, exceptions
21Mauricio Arango Vanishing point. Search on Bibsonomy ACM Multimedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF disappearance, media coverage, visibility, cartography
21Sergey V. Zelenov, Sophia A. Zelenova Automated Generation of Positive and Negative Tests for Parsers. Search on Bibsonomy FATES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF specification-based test generation, coverage criterion, compiler testing, positive tests, negative tests, BNF grammar, formal language, mutation testing, parser
21Sadik Ezer, Scott Johnson Smart diagnostics for configurable processor verification. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded test-bench control, coverage, functional verification, diagnostics, configurable processors
21Lieh-Ming Wu, Kuochen Wang, Chuang-Yi Chiu A BNF-based automatic test program generator for compatible microprocessor verification. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Advanced microprocessor, compatibility verification, top-down recursive descent parsing method, coverage, automatic program generator, BNF
21Keh-Jiann Chen, Yu-Ming Hsieh Chinese Treebanks and Grammar Extraction. Search on Bibsonomy IJCNLP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF grammar coverage, parsing, ambiguities, knowledge extraction, treebanks
21Chen Fu, Barbara G. Ryder, Ana L. Milanova, David Wonnacott Testing of java web services for robustness. Search on Bibsonomy ISSTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF def-use testing, test coverage metrics, java, exceptions
21Ting Yan, Tian He 0001, John A. Stankovic Differentiated surveillance for sensor networks. Search on Bibsonomy SenSys The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sensor networks, energy conservation, differentiated service, sensing coverage
21Michael R. Lyu, Zubin Huang, Sam K. S. Sze, Xia Cai An Empirical Study on Testing and Fault Tolerance for Software Reliability Engineering. Search on Bibsonomy ISSRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data flow coverage testing, empirical study, software fault tolerance, mutation testing
21Jien-Chung Lo Analysis of a BICS-Only Concurrent Error Detection Method. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF unsafe probability, reliability, fault coverage, testability, concurrent error detection, built-in current sensors, operating speed
21Roy A. Maxion, Kymie M. C. Tan Anomaly Detection in Embedded Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dependability, anomaly detection, coverage, Anomaly
21Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal Compaction-based test generation using state and fault information. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compaction-based test generation, newly-traversed state information, newly-detected fault information, vector compaction iterations, vector sequence bias, biased vectors, compacted test set extension, intelligent vector selection, state analysis, fault diagnosis, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, iterative methods, vectors, fault coverage, circuit analysis computing, fault analysis, benchmark circuits, computing resources, vector generation
21Erez Buchnik, Shmuel Ur Compacting regression-suites on-the-fly. Search on Bibsonomy APSEC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF regression suite compaction algorithm, regression suite size minimization, online set-cover, intermediate set size, software testing, coverage, program testing, bugs, computational resources, solution quality
21Zaifu Zhang, Robert D. McLeod, Gregory E. Bridges Statistical estimation of delay fault detectabilities and fault grading. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF transition delay and path delay faults, statistical delay fault analysis, fault detectabilities, fault coverage, random patterns
21Krishnendu Chakrabarty, John P. Hayes Balance testing and balance-testable design of logic circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF built-in self testing, design for testability, fault detection, fault coverage, testing methods
21Heidrun Engel Data flow transformations to detect results which are corrupted by hardware faults. Search on Bibsonomy HASE The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data flow transformations, corrupt result detection, hardware fault coverage, modified instruction, diverse data representation, modified instruction sequences, assembler level, high language level, fault tolerant computing, software faults, design diversity, hardware fault detection
21K. Vijayananda Distributed fault detection in communication protocols using extended finite state machines. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF distributed fault detection, run-time fault detection, coding defects, memory problems, protocol faults, vocabulary faults, sequencing faults, parallel decomposition method, multiple observers, distributed fault detection mechanism, fault tolerant computing, finite state machines, transport protocols, encoding, communication protocols, fault coverage, extended finite state machines
21Yuyun Liao, D. M. H. Walker Optimal voltage testing for physically-based faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF optimal voltage testing, physically-based faults, resistive bridges, gate outputs, pattern sensitive functional faults, transmission gates, fault diagnosis, logic testing, delays, integrated circuit testing, automatic testing, fault coverage, CMOS logic circuits, delay faults, Iddq tests, CMOS circuits, logic gates, test vector, noise margin, selection strategy, low-voltage testing, integrated circuit noise
21Ajay Khoche, Erik Brunvand A partial scan methodology for testing self-timed circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partial scan methodology, control section testing, macromodule based circuits, sequential network, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault coverage, stuck-at faults, integrated logic circuits, boundary scan testing, self-timed circuits
21Tapan J. Chakraborty, Vishwani D. Agrawal Simulation of at-speed tests for stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test
21Keren Censor-Hillel, Hadas Shachnai Partial information spreading with application to distributed maximum coverage. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF maximum coverage, partial information spreading, weak conductance, approximation algorithms, distributed computing, randomized algorithms
21Tong Zhao, Qing Zhao Lifetime Maximization Based on Coverage and Connectivity in Wireless Sensor Networks. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sensor scheduling, Routing, Connectivity, Coverage, Network lifetime
21Xiaole Bai, Chuanlin Zhang, Dong Xuan, Jin Teng, Weijia Jia 0001 Low-connectivity and full-coverage three dimensional wireless sensor networks. Search on Bibsonomy MobiHoc The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal deployment pattern, wireless sensor network topology, connectivity, coverage
21Qiang Guo 0001, John Derrick, Neil Walkinshaw Applying Testability Transformations to Achieve Structural Coverage of Erlang Programs. Search on Bibsonomy TestCom/FATES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FBT, Structural Coverage, Testing, Transformation, Erlang
21Ying Li, Yan Zhang, YuDong Qi, Hongda Fan Coverage and capacity in WiMAX and TD-SCDMA evolution: a comparative study. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TD-SCDMA, spectrum efficiency, coverage, WiMAX
21Mattias Wahde, David Sandberg An Algorithm for Sensory Area Coverage by Mobile Robots Operating in Complex Arenas. Search on Bibsonomy FIRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Robot exploration algorithms, area coverage
21Hyun Myung, Hae-min Jeon, Woo-Yeon Jeong, Seokwon Bang Virtual Door-Based Coverage Path Planning for Mobile Robot. Search on Bibsonomy FIRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Virtual Door, Coverage Path Planning, Mobile Robot
21Jinjun Xiong, Yiyu Shi 0001, Vladimir Zolotov, Chandu Visweswariah Statistical multilayer process space coverage for at-speed test. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process space coverage, order statistics, path selection
21Zheng Fang 0004, Jie Wang 0002 Convex Combination Approximation for the Min-Cost WSN Point Coverage Problem. Search on Bibsonomy WASA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF point coverage, minimum set multicover, approximation algorithm, sensor deployment, LP-rounding
21Rajeev Alur, Aditya Kanade, S. Ramesh 0002, K. C. Shashidhar Symbolic analysis for improving simulation coverage of Simulink/Stateflow models. Search on Bibsonomy EMSOFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulations, coverage, hybrid systems, Simulink, Stateflow
21Maria Kontaki, Dimitrios Katsaros 0001, Yannis Manolopoulos The d-hop k-data coverage query problem in wireless sensor networks. Search on Bibsonomy DMSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data coverage, wireless sensor networks, distributed algorithms, energy efficiency
21Ajitha Rajan, Michael W. Whalen, Mats Per Erik Heimdahl The effect of program and model structure on mc/dc test adequacy coverage. Search on Bibsonomy ICSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF structural coverage metrics
21Bahadorreza Ofoghi, John Yearwood, Liping Ma FrameNet-Based Fact-Seeking Answer Processing: A Study of Semantic Alignment Techniques and Lexical Coverage. Search on Bibsonomy Australasian Conference on Artificial Intelligence The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fact-Seeking Question Answering, Lexical Coverage, FrameNet
21Peter Brass Bounds on coverage and target detection capabilities for models of networks of mobile sensors. Search on Bibsonomy ACM Trans. Sens. Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Boolean sensing model, Foundations of sensor networks, coverage capabilities, mobile sensors, search strategies, sensor deployment
21Ruay-Shiung Chang, Shuo-Hung Wang Deploying sensors for maximum coverage in sensor networks. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor networks, coverage, deployment
21Guoliang Xing, Chenyang Lu 0001, Robert Pless, Qingfeng Huang Impact of Sensing Coverage on Greedy Geographic Routing Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Sensor networks, wireless communication, coverage, geographic routing, greedy routing
21James H. Andrews, Lionel C. Briand, Yvan Labiche, Akbar Siami Namin Using Mutation Analysis for Assessing and Comparing Testing Coverage Criteria. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test coverage of code, experimental design, testing strategies, Testing and debugging
21Udo Krautz, Matthias Pflanz, Christian Jacobi 0002, Hans-Werner Tast, Kai Weber 0001, Heinrich Theodor Vierhaus Evaluating coverage of error detection logic for soft errors using formal methods. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault/Error Coverage, Soft Error Injection, Formal Verification, Error Detection and Correction
21Shih-Tsung Yang, Anthony Ephremides Using Bandwidth-Space Partitioning to Improve Cell Coverage and Near-Far Unfair Access Problem in a Noise-Limited CDMA Cellular Network. Search on Bibsonomy Wirel. Networks The full citation details ... 2005 DBLP  DOI  BibTeX  RDF near-far, coverage, CDMA, capacity
21Christine Wong, Mohamed S. Kamel Comparing Viewpoint Evaluation Functions for Model-Based Inspectional Coverage. Search on Bibsonomy CRV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF camera planning, camera viewpoints, model-based inspection, object coverage
21Chi-Fu Huang, Yu-Chee Tseng The coverage problem in a wireless sensor network. Search on Bibsonomy Wireless Sensor Networks and Applications The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sensor network, ad hoc network, ubiquitous computing, wireless network, computer geometry, coverage problem
21Farn Wang, Geng-Dian Hwang, Fang Yu 0001 Numerical Coverage Estimation for the Symbolic Simulation of Real-Time Systems. Search on Bibsonomy FORTE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF verification, real-time, coverage, symbolic simulation
21Srivatsan Varadarajan, Raja Harinath, Jaideep Srivastava, Zhi-Li Zhang Coverage-Aware Proxy Placement for Dynamic Content Management over the Internet. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Proxy Placement, Internet, Coverage, Content Distribution Network (CDN)
21Kanna Shimizu, David L. Dill Deriving a simulation input generator and a coverage metric from a formal specification. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF BDD minimization, input generation, coverage, testbench
21Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
21Janusz Sosnowski Improving Fault Coverage in System Tests. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF In system testing, test controllability and observability, fault coverage analysis, on-line monitoring
21Michael S. Hsiao On Non-Statistical Techniques for Fast Fault Coverage Estimation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault coverage estimation, hyperactivity reduction, test generation, fault simulation, tolerance
21Paul Ammann, Paul E. Black A Specification-Based Coverage Metric to Evaluate Test Sets. Search on Bibsonomy HASE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Model Checking, Formal Specification, Coverage, Test Sets, SCR, Test Metric, SMV
21David S. Rosenblum, Elaine J. Weyuker Using Coverage Information to Predict the Cost-Effectiveness of Regression Testing Strategies. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF empirical study, regression testing, Cost estimation, test coverage, software analysis
21K. H. Kim, Chittur Subbaraman, Eltefaat Shokri High-Coverage Fault Tolerance in Real-Time Systems Based on Point-to-Point Communication. Search on Bibsonomy HASE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Distributed recovery block, recovery time bound, fault-tolerance, real-time systems, fault coverage, point-to-point networks, network surveillance
21Cheer-Sun D. Yang, Lori L. Pollock An Algorithm for All-du-path Testing Coverage of Shared Memory Parallel Programs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF structural software testing, all-du-path coverage, shared memory parallel programming
21Michel Renovell, P. Huc, Yves Bertrand Bridging fault coverage improvement by power supply control. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bridging fault coverage, power supply control, resistance interval, faulty value, VLSI, VLSI, fault diagnosis, logic testing, integrated circuit testing, automatic testing, logic circuits, parametric model, benchmark circuits
21Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Improving accuracy in path delay fault coverage estimation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault coverage estimation, simulated vector pair, exact fault simulation, fixed-length path-segments, fan-in branches, fan-out branches, flagged path-segments, segment lengths, combinational paths, graph theory, fault diagnosis, logic testing, delays, combinational circuits, logic CAD, circuit analysis computing, path delay fault, approximate methods, CPU time
21Marcelino B. Santos, M. Simões, Isabel C. Teixeira, João Paulo Teixeira 0001 Test preparation for high coverage of physical defects in CMOS digital ICs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high defect coverage, CMOS digital ICs, pseudo realistic faults generation, test quality assessment, tabloid, iceTgen, I/sub DDQ/ test generation, test preparation, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, CMOS digital integrated circuits, physical defects
21Li-C. Wang, M. Ray Mercer, Sophia W. Kao, Thomas W. Williams On the decline of testing efficiency as fault coverage approaches 100%. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single stuck-at fault model, ISCAS benchmark circuits, nontarget defects, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, manufacturing process, test quality, production testing, testing efficiency, circuit sizes
19Hojun Jaygarl, Sunghun Kim 0001, Tao Xie 0001, Carl K. Chang OCAT: object capture-based automated testing. Search on Bibsonomy ISSTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF object capturing, object generation, object mutation, automated testing
19Yi-Hong Chu, Yi-Ju Chen, De-Nian Yang, Ming-Syan Chen Reducing Redundancy in Subspace Clustering. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Khalid El-Arini, Gaurav Veda, Dafna Shahaf, Carlos Guestrin Turning down the noise in the blogosphere. Search on Bibsonomy KDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF personalization, blogs
19Jun Lu, Tatsuya Suda Differentiated Surveillance for Static and Random Mobile Sensor Networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Piet Engelke, Ilia Polian, Michel Renovell, Sandip Kundu, Bharath Seshadri, Bernd Becker 0001 On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ambar A. Gadkari, Anand Yeolekar, J. Suresh, S. Ramesh 0002, Swarup Mohalik, K. C. Shashidhar AutoMOTGen: Automatic Model Oriented Test Generator for Embedded Control Systems. Search on Bibsonomy CAV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Georgia Tsiliki, Sofia Kaforou, M. Kapsetaki, George Potamias, Dimitris Kafetzopoulos A computational approach to microarray universal reference sample. Search on Bibsonomy BIBE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19JeeHyun Hwang, Tao Xie 0001, Fei Chen 0001, Alex X. Liu Systematic Structural Testing of Firewall Policies. Search on Bibsonomy SRDS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Miu-Ling Lam, Yun-Hui Liu Heterogeneous Sensor Network Deployment Using Circle Packings. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 18073 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license