|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 8773 occurrences of 3334 keywords
|
|
|
Results
Found 18073 publication records. Showing 18073 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | Tzung-Pei Hong, Li-Huei Tseng, Been-Chian Chien |
Learning coverage rules from incomplete data based on rough sets. |
SMC (4) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Maxim A. Batalin, Gaurav S. Sukhatme |
Coverage, Exploration, and Deployment by a Mobile Robot and Communication Network. |
IPSN |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Chen Fu, Richard P. Martin, Kiran Nagaraja, Thu D. Nguyen, Barbara G. Ryder, David Wonnacott |
Compiler-Directed Program-Fault Coverage for Highly Available Java Internet Services. |
DSN |
2003 |
DBLP DOI BibTeX RDF |
|
23 | David Leon, Andy Podgurski |
A Comparison of Coverage-Based and Distribution-Based Techniques for Filtering and Prioritizing Test Cases. |
ISSRE |
2003 |
DBLP DOI BibTeX RDF |
|
23 | S. Regimbal, Jean-Francois Lemire, Yvon Savaria, Guy Bois, El Mostapha Aboulhamid, A. Baron |
Automating Functional Coverage Analysis Based on an Executable Specification. |
IWSOC |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Yung-Ruei Chang, Suprasad V. Amari, Sy-Yen Kuo |
Reliability Evaluation of Multi-state Systems Subject to Imperfect Coverage using OBDD. |
PRDC |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Mukund Sivaraman, Andrzej J. Strojwas |
Path delay fault diagnosis and coverage-a metric and an estimationtechnique. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Hana Chockler, Orna Kupferman, Robert P. Kurshan, Moshe Y. Vardi |
A Practical Approach to Coverage in Model Checking. |
CAV |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Neta Aizenbud-Reshef |
Coverage Analysis for Message Flows. |
ISSRE |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Mrinal Bose, Elizabeth M. Rudnick, Magdy S. Abadir |
Automatic Bias Generation Using Pipeline Instruction State Coverage for Biased Random Instruction Generation. |
IOLTW |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Jayant Deodhar, Spyros Tragoudas |
Color Counting and its Application to Path Delay Fault Coverage. |
ISQED |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Robert C. Aitken |
Extending the Pseudo-Stuck-At Fault Model to Provide Complete IDDQ Coverage. |
VTS |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Dimitrios Kagaris, Spyros Tragoudas, Dimitrios Karayiannis |
Improved nonenumerative path-delay fault-coverage estimation based on optimal polynomial-time algorithms. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Aarti Gupta, Sharad Malik, Pranav Ashar |
Toward Formalizing a Validation Methodology Using Simulation Coverage. |
DAC |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Saileshwar Krishnamurthy, Aditya P. Mathur |
On predicting reliability of modules using code coverage. |
CASCON |
1996 |
DBLP BibTeX RDF |
|
23 | Hassan A. Farhat, Steven G. From |
A beta model for estimating the testability and coverage distributions of a VLSI circuit. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1993 |
DBLP DOI BibTeX RDF |
|
23 | Gabriel M. Silberman, Ilan Y. Spillinger |
Using functional fault simulation and the difference fault model to estimate implementation fault coverage. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1990 |
DBLP DOI BibTeX RDF |
|
23 | Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto |
Evaluation and improvement of fault coverage for verification and validation of protocols. |
SPDP |
1990 |
DBLP DOI BibTeX RDF |
|
23 | Shambhu J. Upadhyaya, Kewal K. Saluja |
A new approach to the design of built-in self-testing PLAs for high fault coverage. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1988 |
DBLP DOI BibTeX RDF |
|
22 | Wolfgang Grieskamp, Xiao Qu, Xiangjun Wei, Nicolas Kicillof, Myra B. Cohen |
Interaction Coverage Meets Path Coverage by SMT Constraint Solving. |
TestCom/FATES |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Stefan Nagy, Anh Nguyen-Tuong, Jason D. Hiser, Jack W. Davidson, Matthew Hicks |
Same Coverage, Less Bloat: Accelerating Binary-only Fuzzing with Coverage-preserving Coverage-guided Tracing. |
CoRR |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Stefan Nagy, Anh Nguyen-Tuong, Jason D. Hiser, Jack W. Davidson, Matthew Hicks |
Same Coverage, Less Bloat: Accelerating Binary-only Fuzzing with Coverage-preserving Coverage-guided Tracing. |
CCS |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Joel W. Burdick, Amanda Bouman, Elon Rimon |
From Multi-Target Sensory Coverage to Complete Sensory Coverage: An Optimization-Based Robotic Sensory Coverage Approach. |
ICRA |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Phil McMinn, Mark Harman, Gordon Fraser 0001, Gregory M. Kapfhammer |
Automated search for good coverage criteria: moving from code coverage to fault coverage through search-based software engineering. |
SBST@ICSE |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Xiu Deng, Jiguo Yu, Dongxiao Yu, Congcong Chen |
Transforming Area Coverage to Target Coverage to Maintain Coverage and Connectivity for Wireless Sensor Networks. |
Int. J. Distributed Sens. Networks |
2012 |
DBLP DOI BibTeX RDF |
|
21 | Chih-Yung Chang, Chao-Tsun Chang, Chen-Yu Hsieh, Cheng-Chang Chen, Yu-Chieh Chen |
A dead-end free deployment algorithm for wireless sensor networks with obstacles. |
IWCMC |
2010 |
DBLP DOI BibTeX RDF |
dead-end problem, wireless sensor network, coverage, deployment |
21 | Peter Lisherness, Kwang-Ting (Tim) Cheng |
SCEMIT: a systemc error and mutation injection tool. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
high-level synthesis, coverage, SystemC, mutation |
21 | Svilen Ivanov, Edgar Nett, André Herms, Daniel Mahrenholz, Stefan Schemmer |
Feedback-controlled WLAN: Achieving Channel-Availability in Mesh Networks. |
NCA |
2008 |
DBLP DOI BibTeX RDF |
channel availability, coverage planning, localization, wireless mesh networks |
21 | Stephan Weißleder, Bernd-Holger Schlingloff |
Quality of Automatically Generated Test Cases based on OCL Expressions. |
ICST |
2008 |
DBLP DOI BibTeX RDF |
UML, Test Generation, OCL, Boundary, Coverage Criteria |
21 | Yuh-Ren Tsai, Kai-Jie Yang, Sz-Yi Yeh |
Non-Uniform Node Deployment for Lifetime Extension in Large-Scale Randomly Distributed Wireless Sensor Networks. |
AINA |
2008 |
DBLP DOI BibTeX RDF |
Wireless sensor network (WSN), routing, energy consumption, deployment, sensing coverage |
21 | Trung T. Dinh-Trong, Birgit Geppert, J. Jenny Li, Frank Rößler |
Looking for More Confidence in Refactoring? How to Assess Adequacy of Your Refactoring Tests. |
QSIC |
2008 |
DBLP DOI BibTeX RDF |
testing, refactoring, dominator, control flow graph, code coverage, test adequacy criteria |
21 | Tsong Yueh Chen, Fei-Ching Kuo, Huai Liu, W. Eric Wong |
Does Adaptive Random Testing Deliver a Higher Confidence than Random Testing? |
QSIC |
2008 |
DBLP DOI BibTeX RDF |
Failure-Based Testing, Test Coverage Criteria, Random Testing, Adaptive Random Testing |
21 | Xiaochun Xu, Sartaj Sahni |
Approximation Algorithms for Sensor Deployment. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
point coverage, multimodal sensors, Wireless sensor networks, approximation algorithm |
21 | Andrea Fedeli, Franco Fummi, Graziano Pravadelli |
Properties Incompleteness Evaluation by Functional Verification. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
property coverage, Model checking, fault models, functional verification |
21 | George Sobral Silveira, Karina R. G. da Silva, Elmar U. K. Melcher |
Functional verification of an MPEG-4 decoder design using a random constrained movie generator. |
SBCCI |
2007 |
DBLP DOI BibTeX RDF |
VeriSC, randmovie, stimuli, verification, SystemC, movie, functional coverage |
21 | Kobi Inkumsah, Tao Xie 0001 |
Evacon: a framework for integrating evolutionary and concolic testing for object-oriented programs. |
ASE |
2007 |
DBLP DOI BibTeX RDF |
structural coverage, test generation |
21 | Chen Fu, Ana L. Milanova, Barbara G. Ryder, David Wonnacott |
Robustness Testing of Java Server Applications. |
IEEE Trans. Software Eng. |
2005 |
DBLP DOI BibTeX RDF |
def-use testing, test coverage metrics, Java, Reliability, exceptions |
21 | Mauricio Arango |
Vanishing point. |
ACM Multimedia |
2005 |
DBLP DOI BibTeX RDF |
disappearance, media coverage, visibility, cartography |
21 | Sergey V. Zelenov, Sophia A. Zelenova |
Automated Generation of Positive and Negative Tests for Parsers. |
FATES |
2005 |
DBLP DOI BibTeX RDF |
specification-based test generation, coverage criterion, compiler testing, positive tests, negative tests, BNF grammar, formal language, mutation testing, parser |
21 | Sadik Ezer, Scott Johnson |
Smart diagnostics for configurable processor verification. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
embedded test-bench control, coverage, functional verification, diagnostics, configurable processors |
21 | Lieh-Ming Wu, Kuochen Wang, Chuang-Yi Chiu |
A BNF-based automatic test program generator for compatible microprocessor verification. |
ACM Trans. Design Autom. Electr. Syst. |
2004 |
DBLP DOI BibTeX RDF |
Advanced microprocessor, compatibility verification, top-down recursive descent parsing method, coverage, automatic program generator, BNF |
21 | Keh-Jiann Chen, Yu-Ming Hsieh |
Chinese Treebanks and Grammar Extraction. |
IJCNLP |
2004 |
DBLP DOI BibTeX RDF |
grammar coverage, parsing, ambiguities, knowledge extraction, treebanks |
21 | Chen Fu, Barbara G. Ryder, Ana L. Milanova, David Wonnacott |
Testing of java web services for robustness. |
ISSTA |
2004 |
DBLP DOI BibTeX RDF |
def-use testing, test coverage metrics, java, exceptions |
21 | Ting Yan, Tian He 0001, John A. Stankovic |
Differentiated surveillance for sensor networks. |
SenSys |
2003 |
DBLP DOI BibTeX RDF |
sensor networks, energy conservation, differentiated service, sensing coverage |
21 | Michael R. Lyu, Zubin Huang, Sam K. S. Sze, Xia Cai |
An Empirical Study on Testing and Fault Tolerance for Software Reliability Engineering. |
ISSRE |
2003 |
DBLP DOI BibTeX RDF |
data flow coverage testing, empirical study, software fault tolerance, mutation testing |
21 | Jien-Chung Lo |
Analysis of a BICS-Only Concurrent Error Detection Method. |
IEEE Trans. Computers |
2002 |
DBLP DOI BibTeX RDF |
unsafe probability, reliability, fault coverage, testability, concurrent error detection, built-in current sensors, operating speed |
21 | Roy A. Maxion, Kymie M. C. Tan |
Anomaly Detection in Embedded Systems. |
IEEE Trans. Computers |
2002 |
DBLP DOI BibTeX RDF |
dependability, anomaly detection, coverage, Anomaly |
21 | Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal |
Compaction-based test generation using state and fault information. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
compaction-based test generation, newly-traversed state information, newly-detected fault information, vector compaction iterations, vector sequence bias, biased vectors, compacted test set extension, intelligent vector selection, state analysis, fault diagnosis, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, iterative methods, vectors, fault coverage, circuit analysis computing, fault analysis, benchmark circuits, computing resources, vector generation |
21 | Erez Buchnik, Shmuel Ur |
Compacting regression-suites on-the-fly. |
APSEC |
1997 |
DBLP DOI BibTeX RDF |
regression suite compaction algorithm, regression suite size minimization, online set-cover, intermediate set size, software testing, coverage, program testing, bugs, computational resources, solution quality |
21 | Zaifu Zhang, Robert D. McLeod, Gregory E. Bridges |
Statistical estimation of delay fault detectabilities and fault grading. |
J. Electron. Test. |
1996 |
DBLP DOI BibTeX RDF |
transition delay and path delay faults, statistical delay fault analysis, fault detectabilities, fault coverage, random patterns |
21 | Krishnendu Chakrabarty, John P. Hayes |
Balance testing and balance-testable design of logic circuits. |
J. Electron. Test. |
1996 |
DBLP DOI BibTeX RDF |
built-in self testing, design for testability, fault detection, fault coverage, testing methods |
21 | Heidrun Engel |
Data flow transformations to detect results which are corrupted by hardware faults. |
HASE |
1996 |
DBLP DOI BibTeX RDF |
data flow transformations, corrupt result detection, hardware fault coverage, modified instruction, diverse data representation, modified instruction sequences, assembler level, high language level, fault tolerant computing, software faults, design diversity, hardware fault detection |
21 | K. Vijayananda |
Distributed fault detection in communication protocols using extended finite state machines. |
ICPADS |
1996 |
DBLP DOI BibTeX RDF |
distributed fault detection, run-time fault detection, coding defects, memory problems, protocol faults, vocabulary faults, sequencing faults, parallel decomposition method, multiple observers, distributed fault detection mechanism, fault tolerant computing, finite state machines, transport protocols, encoding, communication protocols, fault coverage, extended finite state machines |
21 | Yuyun Liao, D. M. H. Walker |
Optimal voltage testing for physically-based faults. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
optimal voltage testing, physically-based faults, resistive bridges, gate outputs, pattern sensitive functional faults, transmission gates, fault diagnosis, logic testing, delays, integrated circuit testing, automatic testing, fault coverage, CMOS logic circuits, delay faults, Iddq tests, CMOS circuits, logic gates, test vector, noise margin, selection strategy, low-voltage testing, integrated circuit noise |
21 | Ajay Khoche, Erik Brunvand |
A partial scan methodology for testing self-timed circuits. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
partial scan methodology, control section testing, macromodule based circuits, sequential network, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault coverage, stuck-at faults, integrated logic circuits, boundary scan testing, self-timed circuits |
21 | Tapan J. Chakraborty, Vishwani D. Agrawal |
Simulation of at-speed tests for stuck-at faults. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test |
21 | Keren Censor-Hillel, Hadas Shachnai |
Partial information spreading with application to distributed maximum coverage. |
PODC |
2010 |
DBLP DOI BibTeX RDF |
maximum coverage, partial information spreading, weak conductance, approximation algorithms, distributed computing, randomized algorithms |
21 | Tong Zhao, Qing Zhao |
Lifetime Maximization Based on Coverage and Connectivity in Wireless Sensor Networks. |
J. Signal Process. Syst. |
2009 |
DBLP DOI BibTeX RDF |
Sensor scheduling, Routing, Connectivity, Coverage, Network lifetime |
21 | Xiaole Bai, Chuanlin Zhang, Dong Xuan, Jin Teng, Weijia Jia 0001 |
Low-connectivity and full-coverage three dimensional wireless sensor networks. |
MobiHoc |
2009 |
DBLP DOI BibTeX RDF |
optimal deployment pattern, wireless sensor network topology, connectivity, coverage |
21 | Qiang Guo 0001, John Derrick, Neil Walkinshaw |
Applying Testability Transformations to Achieve Structural Coverage of Erlang Programs. |
TestCom/FATES |
2009 |
DBLP DOI BibTeX RDF |
FBT, Structural Coverage, Testing, Transformation, Erlang |
21 | Ying Li, Yan Zhang, YuDong Qi, Hongda Fan |
Coverage and capacity in WiMAX and TD-SCDMA evolution: a comparative study. |
IWCMC |
2009 |
DBLP DOI BibTeX RDF |
TD-SCDMA, spectrum efficiency, coverage, WiMAX |
21 | Mattias Wahde, David Sandberg |
An Algorithm for Sensory Area Coverage by Mobile Robots Operating in Complex Arenas. |
FIRA |
2009 |
DBLP DOI BibTeX RDF |
Robot exploration algorithms, area coverage |
21 | Hyun Myung, Hae-min Jeon, Woo-Yeon Jeong, Seokwon Bang |
Virtual Door-Based Coverage Path Planning for Mobile Robot. |
FIRA |
2009 |
DBLP DOI BibTeX RDF |
Virtual Door, Coverage Path Planning, Mobile Robot |
21 | Jinjun Xiong, Yiyu Shi 0001, Vladimir Zolotov, Chandu Visweswariah |
Statistical multilayer process space coverage for at-speed test. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
process space coverage, order statistics, path selection |
21 | Zheng Fang 0004, Jie Wang 0002 |
Convex Combination Approximation for the Min-Cost WSN Point Coverage Problem. |
WASA |
2008 |
DBLP DOI BibTeX RDF |
point coverage, minimum set multicover, approximation algorithm, sensor deployment, LP-rounding |
21 | Rajeev Alur, Aditya Kanade, S. Ramesh 0002, K. C. Shashidhar |
Symbolic analysis for improving simulation coverage of Simulink/Stateflow models. |
EMSOFT |
2008 |
DBLP DOI BibTeX RDF |
simulations, coverage, hybrid systems, Simulink, Stateflow |
21 | Maria Kontaki, Dimitrios Katsaros 0001, Yannis Manolopoulos |
The d-hop k-data coverage query problem in wireless sensor networks. |
DMSN |
2008 |
DBLP DOI BibTeX RDF |
data coverage, wireless sensor networks, distributed algorithms, energy efficiency |
21 | Ajitha Rajan, Michael W. Whalen, Mats Per Erik Heimdahl |
The effect of program and model structure on mc/dc test adequacy coverage. |
ICSE |
2008 |
DBLP DOI BibTeX RDF |
structural coverage metrics |
21 | Bahadorreza Ofoghi, John Yearwood, Liping Ma |
FrameNet-Based Fact-Seeking Answer Processing: A Study of Semantic Alignment Techniques and Lexical Coverage. |
Australasian Conference on Artificial Intelligence |
2008 |
DBLP DOI BibTeX RDF |
Fact-Seeking Question Answering, Lexical Coverage, FrameNet |
21 | Peter Brass |
Bounds on coverage and target detection capabilities for models of networks of mobile sensors. |
ACM Trans. Sens. Networks |
2007 |
DBLP DOI BibTeX RDF |
Boolean sensing model, Foundations of sensor networks, coverage capabilities, mobile sensors, search strategies, sensor deployment |
21 | Ruay-Shiung Chang, Shuo-Hung Wang |
Deploying sensors for maximum coverage in sensor networks. |
IWCMC |
2007 |
DBLP DOI BibTeX RDF |
sensor networks, coverage, deployment |
21 | Guoliang Xing, Chenyang Lu 0001, Robert Pless, Qingfeng Huang |
Impact of Sensing Coverage on Greedy Geographic Routing Algorithms. |
IEEE Trans. Parallel Distributed Syst. |
2006 |
DBLP DOI BibTeX RDF |
Sensor networks, wireless communication, coverage, geographic routing, greedy routing |
21 | James H. Andrews, Lionel C. Briand, Yvan Labiche, Akbar Siami Namin |
Using Mutation Analysis for Assessing and Comparing Testing Coverage Criteria. |
IEEE Trans. Software Eng. |
2006 |
DBLP DOI BibTeX RDF |
test coverage of code, experimental design, testing strategies, Testing and debugging |
21 | Udo Krautz, Matthias Pflanz, Christian Jacobi 0002, Hans-Werner Tast, Kai Weber 0001, Heinrich Theodor Vierhaus |
Evaluating coverage of error detection logic for soft errors using formal methods. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
Fault/Error Coverage, Soft Error Injection, Formal Verification, Error Detection and Correction |
21 | Shih-Tsung Yang, Anthony Ephremides |
Using Bandwidth-Space Partitioning to Improve Cell Coverage and Near-Far Unfair Access Problem in a Noise-Limited CDMA Cellular Network. |
Wirel. Networks |
2005 |
DBLP DOI BibTeX RDF |
near-far, coverage, CDMA, capacity |
21 | Christine Wong, Mohamed S. Kamel |
Comparing Viewpoint Evaluation Functions for Model-Based Inspectional Coverage. |
CRV |
2004 |
DBLP DOI BibTeX RDF |
camera planning, camera viewpoints, model-based inspection, object coverage |
21 | Chi-Fu Huang, Yu-Chee Tseng |
The coverage problem in a wireless sensor network. |
Wireless Sensor Networks and Applications |
2003 |
DBLP DOI BibTeX RDF |
sensor network, ad hoc network, ubiquitous computing, wireless network, computer geometry, coverage problem |
21 | Farn Wang, Geng-Dian Hwang, Fang Yu 0001 |
Numerical Coverage Estimation for the Symbolic Simulation of Real-Time Systems. |
FORTE |
2003 |
DBLP DOI BibTeX RDF |
verification, real-time, coverage, symbolic simulation |
21 | Srivatsan Varadarajan, Raja Harinath, Jaideep Srivastava, Zhi-Li Zhang |
Coverage-Aware Proxy Placement for Dynamic Content Management over the Internet. |
ICDCS Workshops |
2003 |
DBLP DOI BibTeX RDF |
Proxy Placement, Internet, Coverage, Content Distribution Network (CDN) |
21 | Kanna Shimizu, David L. Dill |
Deriving a simulation input generator and a coverage metric from a formal specification. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
BDD minimization, input generation, coverage, testbench |
21 | Marie-Lise Flottes, Christian Landrault, A. Petitqueux |
Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset |
21 | Janusz Sosnowski |
Improving Fault Coverage in System Tests. |
IOLTW |
2000 |
DBLP DOI BibTeX RDF |
In system testing, test controllability and observability, fault coverage analysis, on-line monitoring |
21 | Michael S. Hsiao |
On Non-Statistical Techniques for Fast Fault Coverage Estimation. |
J. Electron. Test. |
1999 |
DBLP DOI BibTeX RDF |
fault coverage estimation, hyperactivity reduction, test generation, fault simulation, tolerance |
21 | Paul Ammann, Paul E. Black |
A Specification-Based Coverage Metric to Evaluate Test Sets. |
HASE |
1999 |
DBLP DOI BibTeX RDF |
Model Checking, Formal Specification, Coverage, Test Sets, SCR, Test Metric, SMV |
21 | David S. Rosenblum, Elaine J. Weyuker |
Using Coverage Information to Predict the Cost-Effectiveness of Regression Testing Strategies. |
IEEE Trans. Software Eng. |
1997 |
DBLP DOI BibTeX RDF |
empirical study, regression testing, Cost estimation, test coverage, software analysis |
21 | K. H. Kim, Chittur Subbaraman, Eltefaat Shokri |
High-Coverage Fault Tolerance in Real-Time Systems Based on Point-to-Point Communication. |
HASE |
1997 |
DBLP DOI BibTeX RDF |
Distributed recovery block, recovery time bound, fault-tolerance, real-time systems, fault coverage, point-to-point networks, network surveillance |
21 | Cheer-Sun D. Yang, Lori L. Pollock |
An Algorithm for All-du-path Testing Coverage of Shared Memory Parallel Programs. |
Asian Test Symposium |
1997 |
DBLP DOI BibTeX RDF |
structural software testing, all-du-path coverage, shared memory parallel programming |
21 | Michel Renovell, P. Huc, Yves Bertrand |
Bridging fault coverage improvement by power supply control. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
bridging fault coverage, power supply control, resistance interval, faulty value, VLSI, VLSI, fault diagnosis, logic testing, integrated circuit testing, automatic testing, logic circuits, parametric model, benchmark circuits |
21 | Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal |
Improving accuracy in path delay fault coverage estimation. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
fault coverage estimation, simulated vector pair, exact fault simulation, fixed-length path-segments, fan-in branches, fan-out branches, flagged path-segments, segment lengths, combinational paths, graph theory, fault diagnosis, logic testing, delays, combinational circuits, logic CAD, circuit analysis computing, path delay fault, approximate methods, CPU time |
21 | Marcelino B. Santos, M. Simões, Isabel C. Teixeira, João Paulo Teixeira 0001 |
Test preparation for high coverage of physical defects in CMOS digital ICs. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
high defect coverage, CMOS digital ICs, pseudo realistic faults generation, test quality assessment, tabloid, iceTgen, I/sub DDQ/ test generation, test preparation, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, CMOS digital integrated circuits, physical defects |
21 | Li-C. Wang, M. Ray Mercer, Sophia W. Kao, Thomas W. Williams |
On the decline of testing efficiency as fault coverage approaches 100%. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
single stuck-at fault model, ISCAS benchmark circuits, nontarget defects, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, manufacturing process, test quality, production testing, testing efficiency, circuit sizes |
19 | Hojun Jaygarl, Sunghun Kim 0001, Tao Xie 0001, Carl K. Chang |
OCAT: object capture-based automated testing. |
ISSTA |
2010 |
DBLP DOI BibTeX RDF |
object capturing, object generation, object mutation, automated testing |
19 | Yi-Hong Chu, Yi-Ju Chen, De-Nian Yang, Ming-Syan Chen |
Reducing Redundancy in Subspace Clustering. |
IEEE Trans. Knowl. Data Eng. |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Khalid El-Arini, Gaurav Veda, Dafna Shahaf, Carlos Guestrin |
Turning down the noise in the blogosphere. |
KDD |
2009 |
DBLP DOI BibTeX RDF |
personalization, blogs |
19 | Jun Lu, Tatsuya Suda |
Differentiated Surveillance for Static and Random Mobile Sensor Networks. |
IEEE Trans. Wirel. Commun. |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Piet Engelke, Ilia Polian, Michel Renovell, Sandip Kundu, Bharath Seshadri, Bernd Becker 0001 |
On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Ambar A. Gadkari, Anand Yeolekar, J. Suresh, S. Ramesh 0002, Swarup Mohalik, K. C. Shashidhar |
AutoMOTGen: Automatic Model Oriented Test Generator for Embedded Control Systems. |
CAV |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Georgia Tsiliki, Sofia Kaforou, M. Kapsetaki, George Potamias, Dimitris Kafetzopoulos |
A computational approach to microarray universal reference sample. |
BIBE |
2008 |
DBLP DOI BibTeX RDF |
|
19 | JeeHyun Hwang, Tao Xie 0001, Fei Chen 0001, Alex X. Liu |
Systematic Structural Testing of Firewall Policies. |
SRDS |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Miu-Ling Lam, Yun-Hui Liu |
Heterogeneous Sensor Network Deployment Using Circle Packings. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 18073 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|