|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 8773 occurrences of 3334 keywords
|
|
|
Results
Found 18073 publication records. Showing 18073 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | Tzung-Pei Hong, Li-Huei Tseng, Been-Chian Chien |
Learning coverage rules from incomplete data based on rough sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC (4) ![In: Proceedings of the IEEE International Conference on Systems, Man & Cybernetics: The Hague, Netherlands, 10-13 October 2004, pp. 3226-3231, 2004, IEEE, 0-7803-8566-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Maxim A. Batalin, Gaurav S. Sukhatme |
Coverage, Exploration, and Deployment by a Mobile Robot and Communication Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Information Processing in Sensor Networks, Second International Workshop, IPSN 2003, Palo Alto, CA, USA, April 22-23, 2003, Proceedings, pp. 376-391, 2003, Springer, 3-540-02111-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Chen Fu, Richard P. Martin, Kiran Nagaraja, Thu D. Nguyen, Barbara G. Ryder, David Wonnacott |
Compiler-Directed Program-Fault Coverage for Highly Available Java Internet Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2003 International Conference on Dependable Systems and Networks (DSN 2003), 22-25 June 2003, San Francisco, CA, USA, Proceedings, pp. 595-604, 2003, IEEE Computer Society, 0-7695-1952-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | David Leon, Andy Podgurski |
A Comparison of Coverage-Based and Distribution-Based Techniques for Filtering and Prioritizing Test Cases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 14th International Symposium on Software Reliability Engineering (ISSRE 2003), 17-20 November 2003, Denver, CO, USA, pp. 442-456, 2003, IEEE Computer Society, 0-7695-2007-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | S. Regimbal, Jean-Francois Lemire, Yvon Savaria, Guy Bois, El Mostapha Aboulhamid, A. Baron |
Automating Functional Coverage Analysis Based on an Executable Specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June - 2 July 2003, Calgary, Alberta, Canada, pp. 228-234, 2003, IEEE Computer Society, 0-7695-1944-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Yung-Ruei Chang, Suprasad V. Amari, Sy-Yen Kuo |
Reliability Evaluation of Multi-state Systems Subject to Imperfect Coverage using OBDD. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 9th Pacific Rim International Symposium on Dependable Computing (PRDC 2002), 16-18 December 2002, Tsukuba-City, Ibarski, Japan, pp. 193-200, 2002, IEEE Computer Society, 0-7695-1852-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Mukund Sivaraman, Andrzej J. Strojwas |
Path delay fault diagnosis and coverage-a metric and an estimationtechnique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(3), pp. 440-457, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Hana Chockler, Orna Kupferman, Robert P. Kurshan, Moshe Y. Vardi |
A Practical Approach to Coverage in Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 13th International Conference, CAV 2001, Paris, France, July 18-22, 2001, Proceedings, pp. 66-78, 2001, Springer, 3-540-42345-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Neta Aizenbud-Reshef |
Coverage Analysis for Message Flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 12th International Symposium on Software Reliability Engineering (ISSRE 2001), 27-30 November 2001, Hong Kong, China, pp. 276-286, 2001, IEEE Computer Society, 0-7695-1306-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Mrinal Bose, Elizabeth M. Rudnick, Magdy S. Abadir |
Automatic Bias Generation Using Pipeline Instruction State Coverage for Biased Random Instruction Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTW ![In: 7th IEEE International On-Line Testing Workshop (IOLTW 2001), 9-11 July 2001, Taormina, Italy, pp. 65-, 2001, IEEE Computer Society, 0-7695-1290-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Jayant Deodhar, Spyros Tragoudas |
Color Counting and its Application to Path Delay Fault Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA, pp. 378-383, 2001, IEEE Computer Society, 0-7695-1025-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Robert C. Aitken |
Extending the Pseudo-Stuck-At Fault Model to Provide Complete IDDQ Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 17th IEEE VLSI Test Symposium (VTS '99), 25-30 April 1999, San Diego, CA, USA, pp. 128-134, 1999, IEEE Computer Society, 0-7695-0146-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Dimitrios Kagaris, Spyros Tragoudas, Dimitrios Karayiannis |
Improved nonenumerative path-delay fault-coverage estimation based on optimal polynomial-time algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(3), pp. 309-315, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Aarti Gupta, Sharad Malik, Pranav Ashar |
Toward Formalizing a Validation Methodology Using Simulation Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997., pp. 740-745, 1997, ACM Press, 0-89791-920-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Saileshwar Krishnamurthy, Aditya P. Mathur |
On predicting reliability of modules using code coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1996 conference of the Centre for Advanced Studies on Collaborative Research, November 12-14, 1996, Toronto, Ontario, Canada, pp. 22, 1996, IBM. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
|
23 | Hassan A. Farhat, Steven G. From |
A beta model for estimating the testability and coverage distributions of a VLSI circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(4), pp. 550-554, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
23 | Gabriel M. Silberman, Ilan Y. Spillinger |
Using functional fault simulation and the difference fault model to estimate implementation fault coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(12), pp. 1335-1343, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
23 | Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto |
Evaluation and improvement of fault coverage for verification and validation of protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, SPDP 1990, Dallas, Texas, USA, December 9-13, 1990., pp. 200-207, 1990, IEEE Computer Society, 0-8186-2087-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
23 | Shambhu J. Upadhyaya, Kewal K. Saluja |
A new approach to the design of built-in self-testing PLAs for high fault coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(1), pp. 60-67, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
22 | Wolfgang Grieskamp, Xiao Qu, Xiangjun Wei, Nicolas Kicillof, Myra B. Cohen |
Interaction Coverage Meets Path Coverage by SMT Constraint Solving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TestCom/FATES ![In: Testing of Software and Communication Systems, 21st IFIP WG 6.1 International Conference, TESTCOM 2009 and 9th International Workshop, FATES 2009, Eindhoven, The Netherlands, November 2-4, 2009. Proceedings, pp. 97-112, 2009, Springer, 978-3-642-05030-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Stefan Nagy, Anh Nguyen-Tuong, Jason D. Hiser, Jack W. Davidson, Matthew Hicks |
Same Coverage, Less Bloat: Accelerating Binary-only Fuzzing with Coverage-preserving Coverage-guided Tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2209.03441, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Stefan Nagy, Anh Nguyen-Tuong, Jason D. Hiser, Jack W. Davidson, Matthew Hicks |
Same Coverage, Less Bloat: Accelerating Binary-only Fuzzing with Coverage-preserving Coverage-guided Tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: CCS '21: 2021 ACM SIGSAC Conference on Computer and Communications Security, Virtual Event, Republic of Korea, November 15 - 19, 2021, pp. 351-365, 2021, ACM, 978-1-4503-8454-4. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Joel W. Burdick, Amanda Bouman, Elon Rimon |
From Multi-Target Sensory Coverage to Complete Sensory Coverage: An Optimization-Based Robotic Sensory Coverage Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: IEEE International Conference on Robotics and Automation, ICRA 2021, Xi'an, China, May 30 - June 5, 2021, pp. 10994-11000, 2021, IEEE, 978-1-7281-9077-8. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Phil McMinn, Mark Harman, Gordon Fraser 0001, Gregory M. Kapfhammer |
Automated search for good coverage criteria: moving from code coverage to fault coverage through search-based software engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBST@ICSE ![In: Proceedings of the 9th International Workshop on Search-Based Software Testing, SBST@ICSE 2016, Austin, Texas, USA, May 14-22, 2016, pp. 43-44, 2016, ACM, 978-1-4503-4166-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Xiu Deng, Jiguo Yu, Dongxiao Yu, Congcong Chen |
Transforming Area Coverage to Target Coverage to Maintain Coverage and Connectivity for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Distributed Sens. Networks ![In: Int. J. Distributed Sens. Networks 8, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
21 | Chih-Yung Chang, Chao-Tsun Chang, Chen-Yu Hsieh, Cheng-Chang Chen, Yu-Chieh Chen |
A dead-end free deployment algorithm for wireless sensor networks with obstacles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, IWCMC 2010, Caen, France, June 28 - July 2, 2010, pp. 84-88, 2010, ACM, 978-1-4503-0062-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dead-end problem, wireless sensor network, coverage, deployment |
21 | Peter Lisherness, Kwang-Ting (Tim) Cheng |
SCEMIT: a systemc error and mutation injection tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 228-233, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
high-level synthesis, coverage, SystemC, mutation |
21 | Svilen Ivanov, Edgar Nett, André Herms, Daniel Mahrenholz, Stefan Schemmer |
Feedback-controlled WLAN: Achieving Channel-Availability in Mesh Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: Proceedings of The Seventh IEEE International Symposium on Networking Computing and Applications, NCA 2008, July 10-12, 2008, Cambridge, Massachusetts, USA, pp. 228-231, 2008, IEEE Computer Society, 978-0-7695-3192-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
channel availability, coverage planning, localization, wireless mesh networks |
21 | Stephan Weißleder, Bernd-Holger Schlingloff |
Quality of Automatically Generated Test Cases based on OCL Expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: First International Conference on Software Testing, Verification, and Validation, ICST 2008, Lillehammer, Norway, April 9-11, 2008, pp. 517-520, 2008, IEEE Computer Society, 978-0-7695-3127-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
UML, Test Generation, OCL, Boundary, Coverage Criteria |
21 | Yuh-Ren Tsai, Kai-Jie Yang, Sz-Yi Yeh |
Non-Uniform Node Deployment for Lifetime Extension in Large-Scale Randomly Distributed Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 22nd International Conference on Advanced Information Networking and Applications, AINA 2008, GinoWan, Okinawa, Japan, March 25-28, 2008, pp. 517-524, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Wireless sensor network (WSN), routing, energy consumption, deployment, sensing coverage |
21 | Trung T. Dinh-Trong, Birgit Geppert, J. Jenny Li, Frank Rößler |
Looking for More Confidence in Refactoring? How to Assess Adequacy of Your Refactoring Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: Proceedings of the Eighth International Conference on Quality Software, QSIC 2008, 12-13 August 2008, Oxford, UK, pp. 255-263, 2008, IEEE Computer Society, 978-0-7695-3312-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
testing, refactoring, dominator, control flow graph, code coverage, test adequacy criteria |
21 | Tsong Yueh Chen, Fei-Ching Kuo, Huai Liu, W. Eric Wong |
Does Adaptive Random Testing Deliver a Higher Confidence than Random Testing? ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: Proceedings of the Eighth International Conference on Quality Software, QSIC 2008, 12-13 August 2008, Oxford, UK, pp. 145-154, 2008, IEEE Computer Society, 978-0-7695-3312-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Failure-Based Testing, Test Coverage Criteria, Random Testing, Adaptive Random Testing |
21 | Xiaochun Xu, Sartaj Sahni |
Approximation Algorithms for Sensor Deployment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(12), pp. 1681-1695, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
point coverage, multimodal sensors, Wireless sensor networks, approximation algorithm |
21 | Andrea Fedeli, Franco Fummi, Graziano Pravadelli |
Properties Incompleteness Evaluation by Functional Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(4), pp. 528-544, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
property coverage, Model checking, fault models, functional verification |
21 | George Sobral Silveira, Karina R. G. da Silva, Elmar U. K. Melcher |
Functional verification of an MPEG-4 decoder design using a random constrained movie generator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007, pp. 360-364, 2007, ACM, 978-1-59593-816-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
VeriSC, randmovie, stimuli, verification, SystemC, movie, functional coverage |
21 | Kobi Inkumsah, Tao Xie 0001 |
Evacon: a framework for integrating evolutionary and concolic testing for object-oriented programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 22nd IEEE/ACM International Conference on Automated Software Engineering (ASE 2007), November 5-9, 2007, Atlanta, Georgia, USA, pp. 425-428, 2007, ACM, 978-1-59593-882-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
structural coverage, test generation |
21 | Chen Fu, Ana L. Milanova, Barbara G. Ryder, David Wonnacott |
Robustness Testing of Java Server Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 31(4), pp. 292-311, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
def-use testing, test coverage metrics, Java, Reliability, exceptions |
21 | Mauricio Arango |
Vanishing point. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 13th ACM International Conference on Multimedia, Singapore, November 6-11, 2005, pp. 1067-1068, 2005, ACM, 1-59593-044-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
disappearance, media coverage, visibility, cartography |
21 | Sergey V. Zelenov, Sophia A. Zelenova |
Automated Generation of Positive and Negative Tests for Parsers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FATES ![In: Formal Approaches to Software Testing, 5th International Workshop, FATES 2005, Edinburgh, UK, July 11, 2005, Revised Selected Papers, pp. 187-202, 2005, Springer, 3-540-34454-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
specification-based test generation, coverage criterion, compiler testing, positive tests, negative tests, BNF grammar, formal language, mutation testing, parser |
21 | Sadik Ezer, Scott Johnson |
Smart diagnostics for configurable processor verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 789-794, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
embedded test-bench control, coverage, functional verification, diagnostics, configurable processors |
21 | Lieh-Ming Wu, Kuochen Wang, Chuang-Yi Chiu |
A BNF-based automatic test program generator for compatible microprocessor verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 9(1), pp. 105-132, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Advanced microprocessor, compatibility verification, top-down recursive descent parsing method, coverage, automatic program generator, BNF |
21 | Keh-Jiann Chen, Yu-Ming Hsieh |
Chinese Treebanks and Grammar Extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNLP ![In: Natural Language Processing - IJCNLP 2004, First International Joint Conference, Hainan Island, China, March 22-24, 2004, Revised Selected Papers, pp. 655-663, 2004, Springer, 3-540-24475-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
grammar coverage, parsing, ambiguities, knowledge extraction, treebanks |
21 | Chen Fu, Barbara G. Ryder, Ana L. Milanova, David Wonnacott |
Testing of java web services for robustness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the ACM/SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 2004, Boston, Massachusetts, USA, July 11-14, 2004, pp. 23-34, 2004, ACM, 1-58113-820-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
def-use testing, test coverage metrics, java, exceptions |
21 | Ting Yan, Tian He 0001, John A. Stankovic |
Differentiated surveillance for sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 1st International Conference on Embedded Networked Sensor Systems, SenSys 2003, Los Angeles, California, USA, November 5-7, 2003, pp. 51-62, 2003, ACM, 1-58113-707-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
sensor networks, energy conservation, differentiated service, sensing coverage |
21 | Michael R. Lyu, Zubin Huang, Sam K. S. Sze, Xia Cai |
An Empirical Study on Testing and Fault Tolerance for Software Reliability Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 14th International Symposium on Software Reliability Engineering (ISSRE 2003), 17-20 November 2003, Denver, CO, USA, pp. 119-132, 2003, IEEE Computer Society, 0-7695-2007-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
data flow coverage testing, empirical study, software fault tolerance, mutation testing |
21 | Jien-Chung Lo |
Analysis of a BICS-Only Concurrent Error Detection Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(3), pp. 241-253, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
unsafe probability, reliability, fault coverage, testability, concurrent error detection, built-in current sensors, operating speed |
21 | Roy A. Maxion, Kymie M. C. Tan |
Anomaly Detection in Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(2), pp. 108-120, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
dependability, anomaly detection, coverage, Anomaly |
21 | Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal |
Compaction-based test generation using state and fault information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 159-164, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
compaction-based test generation, newly-traversed state information, newly-detected fault information, vector compaction iterations, vector sequence bias, biased vectors, compacted test set extension, intelligent vector selection, state analysis, fault diagnosis, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, iterative methods, vectors, fault coverage, circuit analysis computing, fault analysis, benchmark circuits, computing resources, vector generation |
21 | Erez Buchnik, Shmuel Ur |
Compacting regression-suites on-the-fly. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 4th Asia-Pacific Software Engineering and International Computer Science Conference (APSEC '97 / ICSC '97), 2-5 December 1997, Clear Water Bay, Hong Kong, pp. 385-394, 1997, IEEE Computer Society, 0-8186-8271-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
regression suite compaction algorithm, regression suite size minimization, online set-cover, intermediate set size, software testing, coverage, program testing, bugs, computational resources, solution quality |
21 | Zaifu Zhang, Robert D. McLeod, Gregory E. Bridges |
Statistical estimation of delay fault detectabilities and fault grading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 8(1), pp. 47-60, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
transition delay and path delay faults, statistical delay fault analysis, fault detectabilities, fault coverage, random patterns |
21 | Krishnendu Chakrabarty, John P. Hayes |
Balance testing and balance-testable design of logic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 8(1), pp. 71-86, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
built-in self testing, design for testability, fault detection, fault coverage, testing methods |
21 | Heidrun Engel |
Data flow transformations to detect results which are corrupted by hardware faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 1st High-Assurance Systems Engineering Workshop (HASE '96), October 22, 1996, Niagara, Canada, Proceedings, pp. 279-, 1996, IEEE Computer Society, 0-8186-7629-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
data flow transformations, corrupt result detection, hardware fault coverage, modified instruction, diverse data representation, modified instruction sequences, assembler level, high language level, fault tolerant computing, software faults, design diversity, hardware fault detection |
21 | K. Vijayananda |
Distributed fault detection in communication protocols using extended finite state machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1996 International Conference on Parallel and Distributed Systems (ICPADS '96), June 3-6, 1996, Tokyo, Japan, Proceedings, pp. 310-318, 1996, IEEE Computer Society, 0-8186-7267-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
distributed fault detection, run-time fault detection, coding defects, memory problems, protocol faults, vocabulary faults, sequencing faults, parallel decomposition method, multiple observers, distributed fault detection mechanism, fault tolerant computing, finite state machines, transport protocols, encoding, communication protocols, fault coverage, extended finite state machines |
21 | Yuyun Liao, D. M. H. Walker |
Optimal voltage testing for physically-based faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 344-353, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
optimal voltage testing, physically-based faults, resistive bridges, gate outputs, pattern sensitive functional faults, transmission gates, fault diagnosis, logic testing, delays, integrated circuit testing, automatic testing, fault coverage, CMOS logic circuits, delay faults, Iddq tests, CMOS circuits, logic gates, test vector, noise margin, selection strategy, low-voltage testing, integrated circuit noise |
21 | Ajay Khoche, Erik Brunvand |
A partial scan methodology for testing self-timed circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 283-289, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
partial scan methodology, control section testing, macromodule based circuits, sequential network, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault coverage, stuck-at faults, integrated logic circuits, boundary scan testing, self-timed circuits |
21 | Tapan J. Chakraborty, Vishwani D. Agrawal |
Simulation of at-speed tests for stuck-at faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 216-220, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test |
21 | Keren Censor-Hillel, Hadas Shachnai |
Partial information spreading with application to distributed maximum coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the 29th Annual ACM Symposium on Principles of Distributed Computing, PODC 2010, Zurich, Switzerland, July 25-28, 2010, pp. 161-170, 2010, ACM, 978-1-60558-888-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
maximum coverage, partial information spreading, weak conductance, approximation algorithms, distributed computing, randomized algorithms |
21 | Tong Zhao, Qing Zhao |
Lifetime Maximization Based on Coverage and Connectivity in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 57(3), pp. 385-400, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Sensor scheduling, Routing, Connectivity, Coverage, Network lifetime |
21 | Xiaole Bai, Chuanlin Zhang, Dong Xuan, Jin Teng, Weijia Jia 0001 |
Low-connectivity and full-coverage three dimensional wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 10th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2009, New Orleans, LA, USA, May 18-21, 2009, pp. 145-154, 2009, ACM, 978-1-60558-531-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
optimal deployment pattern, wireless sensor network topology, connectivity, coverage |
21 | Qiang Guo 0001, John Derrick, Neil Walkinshaw |
Applying Testability Transformations to Achieve Structural Coverage of Erlang Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TestCom/FATES ![In: Testing of Software and Communication Systems, 21st IFIP WG 6.1 International Conference, TESTCOM 2009 and 9th International Workshop, FATES 2009, Eindhoven, The Netherlands, November 2-4, 2009. Proceedings, pp. 81-96, 2009, Springer, 978-3-642-05030-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
FBT, Structural Coverage, Testing, Transformation, Erlang |
21 | Ying Li, Yan Zhang, YuDong Qi, Hongda Fan |
Coverage and capacity in WiMAX and TD-SCDMA evolution: a comparative study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, IWCMC 2009, Leipzig, Germany, June 21-24, 2009, pp. 1473-1477, 2009, ACM, 978-1-60558-569-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
TD-SCDMA, spectrum efficiency, coverage, WiMAX |
21 | Mattias Wahde, David Sandberg |
An Algorithm for Sensory Area Coverage by Mobile Robots Operating in Complex Arenas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FIRA ![In: Advances in Robotics, FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings, pp. 179-186, 2009, Springer, 978-3-642-03982-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Robot exploration algorithms, area coverage |
21 | Hyun Myung, Hae-min Jeon, Woo-Yeon Jeong, Seokwon Bang |
Virtual Door-Based Coverage Path Planning for Mobile Robot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FIRA ![In: Advances in Robotics, FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings, pp. 197-207, 2009, Springer, 978-3-642-03982-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Virtual Door, Coverage Path Planning, Mobile Robot |
21 | Jinjun Xiong, Yiyu Shi 0001, Vladimir Zolotov, Chandu Visweswariah |
Statistical multilayer process space coverage for at-speed test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 340-345, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
process space coverage, order statistics, path selection |
21 | Zheng Fang 0004, Jie Wang 0002 |
Convex Combination Approximation for the Min-Cost WSN Point Coverage Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WASA ![In: Wireless Algorithms, Systems, and Applications, Third International Conference, WASA 2008, Dallas, TX, USA, October 26-28, 2008. Proceedings, pp. 188-199, 2008, Springer, 978-3-540-88581-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
point coverage, minimum set multicover, approximation algorithm, sensor deployment, LP-rounding |
21 | Rajeev Alur, Aditya Kanade, S. Ramesh 0002, K. C. Shashidhar |
Symbolic analysis for improving simulation coverage of Simulink/Stateflow models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 8th ACM & IEEE International conference on Embedded software, EMSOFT 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 89-98, 2008, ACM, 978-1-60558-468-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
simulations, coverage, hybrid systems, Simulink, Stateflow |
21 | Maria Kontaki, Dimitrios Katsaros 0001, Yannis Manolopoulos |
The d-hop k-data coverage query problem in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DMSN ![In: Proceedings of the 5th Workshop on Data Management for Sensor Networks, in conjunction with VLDB, DMSN 2008, Auckland, New Zealand, August 24, 2008, pp. 14-20, 2008, ACM, 978-1-60558-284-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
data coverage, wireless sensor networks, distributed algorithms, energy efficiency |
21 | Ajitha Rajan, Michael W. Whalen, Mats Per Erik Heimdahl |
The effect of program and model structure on mc/dc test adequacy coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 30th International Conference on Software Engineering (ICSE 2008), Leipzig, Germany, May 10-18, 2008, pp. 161-170, 2008, ACM, 978-1-60558-079-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
structural coverage metrics |
21 | Bahadorreza Ofoghi, John Yearwood, Liping Ma |
FrameNet-Based Fact-Seeking Answer Processing: A Study of Semantic Alignment Techniques and Lexical Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australasian Conference on Artificial Intelligence ![In: AI 2008: Advances in Artificial Intelligence, 21st Australasian Joint Conference on Artificial Intelligence, Auckland, New Zealand, December 1-5, 2008. Proceedings, pp. 192-201, 2008, Springer, 978-3-540-89377-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Fact-Seeking Question Answering, Lexical Coverage, FrameNet |
21 | Peter Brass |
Bounds on coverage and target detection capabilities for models of networks of mobile sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Sens. Networks ![In: ACM Trans. Sens. Networks 3(2), pp. 9, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Boolean sensing model, Foundations of sensor networks, coverage capabilities, mobile sensors, search strategies, sensor deployment |
21 | Ruay-Shiung Chang, Shuo-Hung Wang |
Deploying sensors for maximum coverage in sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the International Conference on Wireless Communications and Mobile Computing, IWCMC 2007, Honolulu, Hawaii, USA, August 12-16, 2007, pp. 220-224, 2007, ACM, 978-1-59593-695-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
sensor networks, coverage, deployment |
21 | Guoliang Xing, Chenyang Lu 0001, Robert Pless, Qingfeng Huang |
Impact of Sensing Coverage on Greedy Geographic Routing Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 17(4), pp. 348-360, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Sensor networks, wireless communication, coverage, geographic routing, greedy routing |
21 | James H. Andrews, Lionel C. Briand, Yvan Labiche, Akbar Siami Namin |
Using Mutation Analysis for Assessing and Comparing Testing Coverage Criteria. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 32(8), pp. 608-624, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
test coverage of code, experimental design, testing strategies, Testing and debugging |
21 | Udo Krautz, Matthias Pflanz, Christian Jacobi 0002, Hans-Werner Tast, Kai Weber 0001, Heinrich Theodor Vierhaus |
Evaluating coverage of error detection logic for soft errors using formal methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 176-181, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Fault/Error Coverage, Soft Error Injection, Formal Verification, Error Detection and Correction |
21 | Shih-Tsung Yang, Anthony Ephremides |
Using Bandwidth-Space Partitioning to Improve Cell Coverage and Near-Far Unfair Access Problem in a Noise-Limited CDMA Cellular Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Networks ![In: Wirel. Networks 11(4), pp. 497-505, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
near-far, coverage, CDMA, capacity |
21 | Christine Wong, Mohamed S. Kamel |
Comparing Viewpoint Evaluation Functions for Model-Based Inspectional Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CRV ![In: 1st Canadian Conference on Computer and Robot Vision (CRV 2004) 17-19 May 2004, London, Ontario, Canada, pp. 287-294, 2004, IEEE Computer Society, 0-7695-2127-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
camera planning, camera viewpoints, model-based inspection, object coverage |
21 | Chi-Fu Huang, Yu-Chee Tseng |
The coverage problem in a wireless sensor network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wireless Sensor Networks and Applications ![In: Proceedings of the Second ACM International Conference on Wireless Sensor Networks and Applications, WSNA 2003, San Diego, CA, USA, September 19, 2003, pp. 115-121, 2003, ACM, 1-58113-764-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
sensor network, ad hoc network, ubiquitous computing, wireless network, computer geometry, coverage problem |
21 | Farn Wang, Geng-Dian Hwang, Fang Yu 0001 |
Numerical Coverage Estimation for the Symbolic Simulation of Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FORTE ![In: Formal Techniques for Networked and Distributed Systems - FORTE 2003, 23rd IFIP WG 6.1 International Conference, Berlin, Germany, September 29 - October 2, 2003, Proceedings, pp. 160-176, 2003, Springer, 3-540-20175-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
verification, real-time, coverage, symbolic simulation |
21 | Srivatsan Varadarajan, Raja Harinath, Jaideep Srivastava, Zhi-Li Zhang |
Coverage-Aware Proxy Placement for Dynamic Content Management over the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 23rd International Conference on Distributed Computing Systems Workshops (ICDCS 2003 Workshops), 19-22 May 2003, Providence, RI, USA, pp. 892-897, 2003, IEEE Computer Society, 0-7695-1921-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Proxy Placement, Internet, Coverage, Content Distribution Network (CDN) |
21 | Kanna Shimizu, David L. Dill |
Deriving a simulation input generator and a coverage metric from a formal specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 801-806, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
BDD minimization, input generation, coverage, testbench |
21 | Marie-Lise Flottes, Christian Landrault, A. Petitqueux |
Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 404-, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset |
21 | Janusz Sosnowski |
Improving Fault Coverage in System Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTW ![In: 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 3-5 July 2000, Palma de Mallorca, Spain, pp. 207-213, 2000, IEEE Computer Society, 0-7695-0646-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
In system testing, test controllability and observability, fault coverage analysis, on-line monitoring |
21 | Michael S. Hsiao |
On Non-Statistical Techniques for Fast Fault Coverage Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 15(3), pp. 239-254, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
fault coverage estimation, hyperactivity reduction, test generation, fault simulation, tolerance |
21 | Paul Ammann, Paul E. Black |
A Specification-Based Coverage Metric to Evaluate Test Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 4th IEEE International Symposium on High-Assurance Systems Engineering (HASE '99), 17-19 November 1999, Washington, D.C, USA, Proceedings, pp. 239-248, 1999, IEEE Computer Society, 0-7695-0418-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Model Checking, Formal Specification, Coverage, Test Sets, SCR, Test Metric, SMV |
21 | David S. Rosenblum, Elaine J. Weyuker |
Using Coverage Information to Predict the Cost-Effectiveness of Regression Testing Strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 23(3), pp. 146-156, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
empirical study, regression testing, Cost estimation, test coverage, software analysis |
21 | K. H. Kim, Chittur Subbaraman, Eltefaat Shokri |
High-Coverage Fault Tolerance in Real-Time Systems Based on Point-to-Point Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 2nd High-Assurance Systems Engineering Workshop (HASE '97), August 11-12, 1997, Washington, DC, USA, Proceedings, pp. 141-148, 1997, IEEE Computer Society, 0-8186-7971-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Distributed recovery block, recovery time bound, fault-tolerance, real-time systems, fault coverage, point-to-point networks, network surveillance |
21 | Cheer-Sun D. Yang, Lori L. Pollock |
An Algorithm for All-du-path Testing Coverage of Shared Memory Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 6th Asian Test Symposium (ATS '97), 17-18 November 1997, Akita, Japan, pp. 263-268, 1997, IEEE Computer Society, 0-8186-8209-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
structural software testing, all-du-path coverage, shared memory parallel programming |
21 | Michel Renovell, P. Huc, Yves Bertrand |
Bridging fault coverage improvement by power supply control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 338-343, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
bridging fault coverage, power supply control, resistance interval, faulty value, VLSI, VLSI, fault diagnosis, logic testing, integrated circuit testing, automatic testing, logic circuits, parametric model, benchmark circuits |
21 | Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal |
Improving accuracy in path delay fault coverage estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 422-425, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
fault coverage estimation, simulated vector pair, exact fault simulation, fixed-length path-segments, fan-in branches, fan-out branches, flagged path-segments, segment lengths, combinational paths, graph theory, fault diagnosis, logic testing, delays, combinational circuits, logic CAD, circuit analysis computing, path delay fault, approximate methods, CPU time |
21 | Marcelino B. Santos, M. Simões, Isabel C. Teixeira, João Paulo Teixeira 0001 |
Test preparation for high coverage of physical defects in CMOS digital ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 330-337, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
high defect coverage, CMOS digital ICs, pseudo realistic faults generation, test quality assessment, tabloid, iceTgen, I/sub DDQ/ test generation, test preparation, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, CMOS digital integrated circuits, physical defects |
21 | Li-C. Wang, M. Ray Mercer, Sophia W. Kao, Thomas W. Williams |
On the decline of testing efficiency as fault coverage approaches 100%. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 74-83, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
single stuck-at fault model, ISCAS benchmark circuits, nontarget defects, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, manufacturing process, test quality, production testing, testing efficiency, circuit sizes |
19 | Hojun Jaygarl, Sunghun Kim 0001, Tao Xie 0001, Carl K. Chang |
OCAT: object capture-based automated testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the Nineteenth International Symposium on Software Testing and Analysis, ISSTA 2010, Trento, Italy, July 12-16, 2010, pp. 159-170, 2010, ACM, 978-1-60558-823-0. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
object capturing, object generation, object mutation, automated testing |
19 | Yi-Hong Chu, Yi-Ju Chen, De-Nian Yang, Ming-Syan Chen |
Reducing Redundancy in Subspace Clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 21(10), pp. 1432-1446, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Khalid El-Arini, Gaurav Veda, Dafna Shahaf, Carlos Guestrin |
Turning down the noise in the blogosphere. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the 15th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Paris, France, June 28 - July 1, 2009, pp. 289-298, 2009, ACM, 978-1-60558-495-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
personalization, blogs |
19 | Jun Lu, Tatsuya Suda |
Differentiated Surveillance for Static and Random Mobile Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 7(11-1), pp. 4411-4423, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Piet Engelke, Ilia Polian, Michel Renovell, Sandip Kundu, Bharath Seshadri, Bernd Becker 0001 |
On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(2), pp. 327-338, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Ambar A. Gadkari, Anand Yeolekar, J. Suresh, S. Ramesh 0002, Swarup Mohalik, K. C. Shashidhar |
AutoMOTGen: Automatic Model Oriented Test Generator for Embedded Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 20th International Conference, CAV 2008, Princeton, NJ, USA, July 7-14, 2008, Proceedings, pp. 204-208, 2008, Springer, 978-3-540-70543-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Georgia Tsiliki, Sofia Kaforou, M. Kapsetaki, George Potamias, Dimitris Kafetzopoulos |
A computational approach to microarray universal reference sample. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BIBE ![In: Proceedings of the 8th IEEE International Conference on Bioinformatics and Bioengineering, BIBE 2008, October 8-10, 2008, Athens, Greece, pp. 1-7, 2008, IEEE, 978-1-4244-2845-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | JeeHyun Hwang, Tao Xie 0001, Fei Chen 0001, Alex X. Liu |
Systematic Structural Testing of Firewall Policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRDS ![In: 27th IEEE Symposium on Reliable Distributed Systems (SRDS 2008), Napoli, Italy, October 6-8, 2008, pp. 105-114, 2008, IEEE Computer Society, 978-0-7695-3410-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Miu-Ling Lam, Yun-Hui Liu |
Heterogeneous Sensor Network Deployment Using Circle Packings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 4442-4447, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 18073 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|