The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGA with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (21) 1993 (21) 1994 (76) 1995 (112) 1996 (116) 1997 (123) 1998 (227) 1999 (271) 2000 (307) 2001 (295) 2002 (365) 2003 (551) 2004 (702) 2005 (754) 2006 (1038) 2007 (989) 2008 (1061) 2009 (854) 2010 (720) 2011 (744) 2012 (743) 2013 (885) 2014 (852) 2015 (885) 2016 (898) 2017 (1035) 2018 (1028) 2019 (1243) 2020 (1074) 2021 (1141) 2022 (1044) 2023 (1081) 2024 (230)
Publication types (Num. hits)
article(5776) book(12) data(5) incollection(50) inproceedings(15376) phdthesis(235) proceedings(32)
Venues (Conferences, Journals, ...)
FPGA(1618) FPL(1411) FCCM(710) CoRR(617) FPT(537) ISCAS(393) Microprocess. Microsystems(365) ReConFig(346) IEEE Access(266) IEEE Trans. Very Large Scale I...(261) DATE(256) DSD(247) ARC(232) IEEE Trans. Comput. Aided Des....(200) IPDPS(198) DAC(197) More (+10 of total 2083)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6285 occurrences of 1975 keywords

Results
Found 21486 publication records. Showing 21486 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Yao-Wen Chang, D. F. Wong 0001, C. K. Wong Design and analysis of FPGA/FPIC switch modules. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF FPGA/FPIC switch modules, routing resources, FPIC, network-flow techniques, field programmable interconnect chip, field programmable gate arrays, FPGA, field programmable gate arrays, logic design, heuristic algorithm, programmable logic arrays, routability
28Atabak Mahram, Martin C. Herbordt Fast and accurate NCBI BLASTP: acceleration with multiphase FPGA-based prefiltering. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA-based coprocessors, high performance reconfigurable computing, bioinformatics, biological sequence alignment
28Yibo Chen, Jishen Zhao, Yuan Xie 0001 3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF non-volatile FPGA, phase-change memory, 3D IC
28Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic RAMP gold: an FPGA-based architecture simulator for multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, FPGA, multiprocessors
28Yi Zhu 0002, Yuanfang Hu, Michael B. Taylor, Chung-Kuan Cheng Energy and switch area optimizations for FPGA global routing architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, low power, global routing
28René Müller 0001, Jens Teubner FPGA: what's in it for a database? Search on Bibsonomy SIGMOD Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data processing vlsi, fpga, hardware acceleration
28Scott Cromar, Jaeho Lee, Deming Chen FPGA-targeted high-level binding algorithm for power and area reduction with glitch-estimation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF glitch power, FPGA, high-level synthesis, power reduction
28Jianbin Huang, Zongwu Xie, Hong Liu 0002, Kai Sun, Yechao Liu, Zainan Jiang DSP/FPGA-based Controller Architecture for Flexible Joint Robot with Enhanced Impedance Performance. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF M-LVDS serial data bus, Torque ripple, FPGA, DSP, Impedance control, Flexible joint
28Kanupriya Gulati, Sunil P. Khatri Improving FPGA routability using network coding. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, network coding
28In-Kwon Park, Jung-Hyun Kim 0006, Kwang-Seok Hong An implementation of an FPGA-based embedded gesture recognizer using a data glove. Search on Bibsonomy ICUIMC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, VHDL, gesture recognition
28Camel Tanougast, Serge Weber, Gilles Millerioux, Jamal Daafouz, Ahmed Bouridane VLSI Architecture and FPGA Implementation of a Hybrid Message-Embedded Self-Synchronizing Stream Cipher. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cryptography system, self-synchronising stream cipher, FPGA, VLSI design
28Shrutisagar Chandrasekaran, Abbes Amira High Performance FPGA Implementation of the Mersenne Twister. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RC1000, FPGA, Mersenne Twister, Handel C
28Yi-Hua E. Yang, Weirong Jiang, Viktor K. Prasanna Compact architecture for high-throughput regular expression matching on FPGA. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BRAM, FPGA, intrusion detection, finite state machine, regular expression, NFA
28Premysl Sucha, Zdenek Hanzálek, Antonin Hermanek, Jan Schier Scheduling of Iterative Algorithms with Matrix Operations for Efficient FPGA Design - Implementation of Finite Interval Constant Modulus Algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF imperfectly nested loops, FPGA, high-level synthesis, implementation, integer linear programming, VLSI design, iterative algorithms, cyclic scheduling, blind equalization
28Keith So Solving hard instances of FPGA routing with a congestion-optimal restrained-norm path search space. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF negotiated congestion, routability-driven routing, FPGA
28Fei Xia, Yong Dou Reducing Storage Requirements in Accelerating Algorithm of Global BioSequence Alignment on FPGA. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Global BioSequence Alignment, Needleman-Wunsch algorithm, FPGA, Bioinformatics, Hardware Accelerator
28Nele Mentens, Lejla Batina, Bart Preneel, Ingrid Verbauwhede Time-Memory Trade-Off Attack on FPGA Platforms: UNIX Password Cracking. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF exhaustive key search, cryptanalysis, hash-functions, FPGA implementation, time-memory trade-off, rainbow table
28Yujia Jin, Nadathur Satish, Kaushik Ravindran, Kurt Keutzer An automated exploration framework for FPGA-based soft multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IPv4 packet forwarding, soft multiprocessors, FPGA, design space exploration, integer linear programming
28Peter Yiannacouras, Jonathan Rose, J. Gregory Steffan The microarchitecture of FPGA-based soft processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, application specic tradeoff, FPGA, pipeline, exploration, embedded processor, ASIP, microarchitecture, soft processor
28Hui Qin, Tsutomu Sasao, Yukihiro Iguchi An FPGA design of AES encryption circuit with 128-bit keys. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AES encryption, FPGA, pipeline
28Alex Gonsales, Marcelo Lubaszewski, Luigi Carro, Michel Renovell A New FPGA for DSP Applications Integrating BIST Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware test, FPGA, digital signal processing, DSP, BIST, reconfigurable architectures
28Chidamber Kulkarni, Gordon J. Brebner, Graham Schelle Mapping a domain specific language to a platform FPGA. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF platform FPGA, domain specific language, network processing
28Marcus Bednara, Jürgen Teich Automatic Synthesis of FPGA Processor Arrays from Loop Algorithms. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF regular processor arrays, FPGA, design automation, space-time mapping
28Seda Ogrenci Memik, Aggelos K. Katsaggelos, Majid Sarrafzadeh Analysis and FPGA Implementation of Image Restoration under Resource Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, image segmentation, image restoration
28Yao-Wen Chang, Kai Zhu 0001, Guang-Ming Wu, D. F. Wong 0001, C. K. Wong Analysis of FPGA/FPIC switch modules. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPIC, FPGA, synthesis, layout, Computer-aided design of VLSI
28Deming Chen, Jason Cong, Yiping Fan Low-power high-level synthesis for FPGA architectures. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA power reduction, RT-level power estimation, data path optimization
28Gustavo Sutter, Elias Todorovich, Sergio López-Buedo, Eduardo I. Boemo Low-Power FSMs in FPGA: Encoding Alternatives. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF One-Hot, State Encod-ing, FPGA, Low-Power, Finite State Machine
28Dylan Carline, Paul Coulton Internet Authentication of LUT-Based FPGA Configuration Files. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Configuration Files, FPGA, Authentication, Bitstream
28Heidi E. Ziegler, Byoungro So, Mary W. Hall, Pedro C. Diniz Coarse-Grain Pipelining on Multiple FPGA Architectures. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Coarse-grain Pipelining, FPGA-based Custom Computing Machines, Parallelizing Compiler Analysis Techniques
28Dewi Utami, Hadi Suwastio, Bambang Sumadjudin FPGA Implementation of Digital Chaotic Cryptography. Search on Bibsonomy EurAsia-ICT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF digital filter overflow, FPGA, cryptography, Chaos, fixed point
28Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian TOF: a tool for test pattern generation optimization of an FPGA application oriented test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TOF tool, test pattern generation optimization, FPGA application oriented test, application-oriented test procedure, RAM-based FPGAs, AC nonredundant fault coverage, circuit netlist, TPG optimisation tool, field programmable gate arrays, logic testing, optimisation, integrated circuit testing, automatic test pattern generation, ATPG
28Andrzej Krasniewski Self-Testing of FPGA Delay Faults in the System Environment. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, BIST, random testing, delay faults
28Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Minimizing the Number of Test Configurations for Different FPGA Families. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, ATPG
28Neil W. Bergmann, Yuk Ying Chung Video Compression on FPGA-Based Custom Computers. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA-based custom computers, 2D DCT algorithms, Scalable Parallel Architecture for Concurrency Experiments, field programmable gate arrays, field programmable gate array, video compression, experimental result, SPACE, workstation, distributed arithmetic, super-computer, processing speed
28Jie Zhou 0007, Yong Dou, Jianxun Zhao, Fei Xia, Yuanwu Lei, Yuxing Tang A Fine-Grained Pipelined Implementation for Large-Scale Matrix Inversion on FPGA. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Philippe Bonnot 0001, Fabrice Lemonnier, Gilbert Edelin, Gerard Gaillat, Olivier Ruch, Pascal Gauget Definition and SIMD Implementation of a Multi-Processing Architecture Approach on FPGA. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Jie Zhou 0007, Yong Dou, Yuanwu Lei, Jinbo Xu, Yazhuo Dong Double Precision Hybrid-Mode Floating-Point FPGA CORDIC Co-processor. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Hayden Kwok-Hay So, Robert W. Brodersen File system access from reconfigurable FPGA hardware processes in BORPH. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Changjian Gao, Shih-Lien Lu Novel FPGA based Haar classifier face detection algorithm acceleration. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Mingjie Lin, Steve Ferguson, Yaling Ma, Timothy Greene HAFT: A hybrid FPGA with amorphous and fault-tolerant architecture. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai PROToFLEX: FPGA-accelerated Hybrid Functional Simulator. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Pengyuan Yu, Patrick Schaumont Secure FPGA circuits using controlled placement and routing. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Babak Zamanlooy, Vahid Hamiati Vaghef, Sattar Mirzakuchaki, Ali Shojaee Bakhtiari, Reza Ebrahimi Atani A Real Time Infrared Imaging System Based on DSP & FPGA. Search on Bibsonomy PSIVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF IRFPA, Nonuniformity Detection, Nonuniformity Correction
28Kazuhiro Shimizu, Shinichi Hirai Implementing Planar Motion Tracking Algorithms on CMOS+FPGA Vision System. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Tom Van Court, Martin C. Herbordt Sizing of Processing Arrays for FPGA-Based Computation. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Uday Bondhugula, Ananth Devulapalli, James Dinan, Joseph Fernando, Pete Wyckoff, Eric Stahlberg, P. Sadayappan Hardware/Software Integration for FPGA-based All-Pairs Shortest-Paths. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28David Sheldon, Rakesh Kumar 0002, Roman L. Lysecky, Frank Vahid, Dean M. Tullsen Application-specific customization of parameterized FPGA soft-core processors. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Weiwei Ma, M. E. Kaye, D. M. Luke, R. Doraiswami An FPGA-Based Singular Value Decomposition Processor. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Takashi Morimoto, Hidekazu Adachi, Kousuke Yamaoka, Kazutoshi Awane, Tetsushi Koide, Hans Jürgen Mattausch An FPGA-Based Region-Growing Video Segmentation System with Boundary-Scan-Only LSI Architecture. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Anurag Tiwari, Karen A. Tomko Enhanced reliability of finite-state machines in FPGA through efficient fault detection and correction. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Deepak Rautela, Rajendra S. Katti Design and Implementation of FPGA Router for Efficient Utilization of Heterogeneous Routing Resources. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Jun Yuan, Sheqin Dong, Xianlong Hong, Yuliang Wu LFF algorithm for heterogeneous FPGA floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Vijay Degalahal, Tim Tuan Methodology for high level estimation of FPGA power consumption. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Chi-Jeng Chang, Wu-Ting Wu, Hui-Ching Su, Zen-Yi Huang, Hsin-Yen Li ARM Based Microcontroller for Image Capturing in FPGA Design. Search on Bibsonomy ISVC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Erno Salminen, Ari Kulmala, Timo D. Hämäläinen HIBI-based multiprocessor SoC on FPGA. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Ronald F. DeMara, Kening Zhang Autonomous FPGA Fault Handling through Competitive Runtime Reconfiguration. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Mihai Sima, Sorin Dan Cotofana, Stamatis Vassiliadis, Jos T. J. van Eijndhoven, Kees A. Vissers Pel reconstruction on FPGA-augmented TriMedia. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28André DeHon, Raphael Rubin Design of FPGA interconnect for multilevel metallization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Terrence S. T. Mak, Kai-Pui Lam On Computing Maximum Likelihood Phylogeny Using FPGA p. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Fei Li 0003, Yan Lin 0001, Lei He 0001 Vdd programmability to reduce FPGA interconnect power. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Ian G. Harris, Russell Tessier Testing and diagnosis of interconnect faults in cluster-based FPGA architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Timothy Wheeler, Paul S. Graham, Brent E. Nelson, Brad L. Hutchings Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Lech Józwiak, Aleksander Slusarczyk A New State Assignment Method Targeting FPGA Implementations. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Ian G. Harris, Russell Tessier Interconnect testing in cluster-based FPGA architectures. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field-programmable gate arrray, interconnect testing, hierarchical test
28John F. McDonald 0001, Bryan S. Goda Reconfigurable FPGA's in the 1-20 GHz Band with HBT BiCMOS. Search on Bibsonomy Evolvable Hardware The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Vaughn Betz, Jonathan Rose Effect of the prefabricated routing track distribution on FPGA area-efficiency. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Philip Heng Wai Leong, P. K. Tsang, T. K. Lee A FPGA Based Forth Microprocessor. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Wen-Jong Fang, Allen C.-H. Wu Multi-Way FPGA Partitioning by Fully Exploiting Design Hierarchy. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Ashutosh Singla, Thomas M. Conte Bipartitioning for Hybrid FPGA-Software Simulatio. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jason Cong, Yuzheng Ding FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Mahdi Abbaszadeh, Dana L. How From Topology to Realization in FPGA/VPR Routing. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Hongzheng Chen, Jiahao Zhang, Yixiao Du, Shaojie Xiang, Zichao Yue, Niansong Zhang, Yaohui Cai, Zhiru Zhang A Comprehensive Evaluation of FPGA-Based Spatial Acceleration of LLMs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Zhigang Wei, Aman Arora, Emily Shriver, Lizy Kurian John Cross-FPGA Power Estimation from High Level Synthesis via Transfer-Learning. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Youwei Xiao, Zizhang Luo, Kexing Zhou, Yun Liang 0001 Cement: Streamlining FPGA Hardware Design with Cycle-Deterministic eHDL and Synthesis. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Dongjoon Park, André DeHon REFINE: Runtime Execution Feedback for INcremental Evolution on FPGA Designs. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Thore Gerlach, Stefan Knipp, David Biesner, Stelios Emmanouilidis, Klaus Hauber, Nico Piatkowski FPGA-Placement via Quantum Annealing. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Timothy Sherwood Security, Synapses, Sustainability, and Superconducting: A Look at Possible Futures for the FPGA. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Prabhat K. Gupta My Fifteen Year Journey of Deploying FPGA Accelerated Solutions. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Zhenyu Xu, Miaoxiang Yu, Jillian Cai, Saddam Gafsi, Judson Douglas Ryckman, Qing Yang 0001, Tao Wei An FPGA-Enabled Framework for Rapid Automated Design of Photonic Integrated Circuits. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Will Lin, Yizhou Shan, Ryan Kosta, Arvind Krishnamurthy, Yiying Zhang 0005 SuperNIC: An FPGA-Based, Cloud-Oriented SmartNIC. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Sahand Kashani, Mahyar Emami, Keisuke Kamahori, Mohammad Sepehr Pourghannad, Ritik Raj, James R. Larus A 475 MHz Manycore FPGA Accelerator for RTL Simulation. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Andy Ray, Benjamin Devlin, Fu Yong Quah, Rahul Yesantharao Hardcaml MSM: A High-Performance Split CPU-FPGA Multi-Scalar Multiplication Engine. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Yizhao Gao, Baoheng Zhang, Yuhao Ding, Hayden Kwok-Hay So A Composable Dynamic Sparse Dataflow Architecture for Efficient Event-based Vision Processing on FPGA. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Kai Qian, Zheng Liu, Yinqiu Liu, Haodong Lu 0001, Zexu Zhang, Ruiqiu Chen, Kun Wang 0005 AutoHammer: Breaking the Compilation Wall Between Deep Neural Network and Overlay-based FPGA Accelerator. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Daniel Gerlinghoff, Benjamin Chen Ming Choong, Rick Siow Mong Goh, Weng-Fai Wong, Tao Luo 0014 Table-Lookup MAC: Scalable Processing of Quantised Neural Networks in FPGA Soft Logic. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Andrea Guerrieri, Srijeet Guha, Lana Josipovic, Paolo Ienne DynaRapid: From C to FPGA in a Few Seconds. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
26Wole Jaiyeoba, Nima Elyasi, Changho Choi, Kevin Skadron ACTS: A Near-Memory FPGA Graph Processing Framework. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Kan Shi, Shuoxiang Xu, Yuhan Diao, David Boland, Yungang Bao ENCORE: Efficient Architecture Verification Framework with FPGA Acceleration. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Tim Oberschulte, Jakob Marten, Holger Blume Fault Detection on Multi COTS FPGA Systems for Physics Experiments on the International Space Station. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Linus Y. Wong, Jialiang Zhang, Jing Jane Li DONGLE: Direct FPGA-Orchestrated NVMe Storage for HLS. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Shashwat Shrivastava, Stefan Nikolic 0001, Chirag Ravishankar, Dinesh Gaitonde, Mirjana Stojilovic Mitigating the Last-Mile Bottleneck: A Two-Step Approach For Faster Commercial FPGA Routing. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Emanuele Del Sozzo, Davide Conficconi, Marco D. Santambrogio, Kentaro Sano Senju: A Framework for the Design of Highly Parallel FPGA-based Iterative Stencil Loop Accelerators. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Jonathan W. Greene FPGA Mux Usage and Routability Estimates without Explicit Routing. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Jinfeng Li, Yahong Rosa Zheng FPGA Acceleration for Successive Interference Cancellation in Severe Multipath Acoustic Communication Channels. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Longfei Fan, Chang Wu FPGA Technology Mapping with Adaptive Gate Decomposition. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Chaoqiang Liu, Haifeng Liu 0003, Long Zheng 0003, Yu Huang 0013, Xiangyu Ye, Xiaofei Liao, Hai Jin 0001 FNNG: A High-Performance FPGA-based Accelerator for K-Nearest Neighbor Graph Construction. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Kaveh Aasaraai, Emanuele Cesena, Rahul Maganti, Nicolas Stalder, Javier Varela, Kevin Bowers Cyclone-NTT: An NTT/FFT Architecture Using Quasi-Streaming of Large Datasets on DDR- and HBM-based FPGA Platforms. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Ruiqi Chen, Haoyang Zhang, Yuhanxiao Ma, Enhao Tang, Shun Li, Yanxiang Zhu, Jun Yu 0010, Kun Wang 0005 Graph-OPU: An FPGA-Based Overlay Processor for Graph Neural Networks. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Zhenyu Xu, Miaoxiang Yu, Qing Yang 0001, Yeonho Jeong, Tao Wei A Novel FPGA Simulator Accelerating Reinforcement Learning-Based Design of Power Converters. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Andrew David Gunter, Steven J. E. Wilton Towards a Machine Learning Approach to Predicting the Difficulty of FPGA Routing Problems. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 21486 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license