The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-level (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1987 (15) 1988-1989 (16) 1990 (22) 1991-1992 (24) 1993 (15) 1994 (23) 1995 (38) 1996 (38) 1997 (31) 1998 (45) 1999 (39) 2000 (51) 2001 (32) 2002 (46) 2003 (40) 2004 (43) 2005 (60) 2006 (59) 2007 (79) 2008 (56) 2009 (38) 2010 (19) 2011 (18) 2012-2013 (24) 2014 (22) 2015 (19) 2016 (20) 2017 (20) 2018-2019 (30) 2020 (25) 2021-2022 (39) 2023 (16) 2024 (4)
Publication types (Num. hits)
article(309) data(1) incollection(3) inproceedings(749) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 838 occurrences of 477 keywords

Results
Found 1066 publication records. Showing 1066 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Subhrajit Bhattacharya, Franc Brglez, Sujit Dey Transformations and resynthesis for testability of RT-level control-data path specifications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Loganathan Lingappan, Niraj K. Jha Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Chung-Ho Chen, Chih-Kai Wei, Tai-Hua Lu, Hsun-Wei Gao Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Dimitri Kagaris, Themistoklis Haniotakis Transistor-Level Synthesis for Low-Power Applications. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Nitin Yogi, Vishwani D. Agrawal Spectral RTL Test Generation for Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Gila Kamhi, Sarah Miller, Stephen Bailey Mentor, Wolfgang Nebel, Y. C. Wong, Juergen Karmann, Enrico Macii, Stephen V. Kosonocky, Steve Curtis Early Power-Aware Design & Validation: Myth or Reality? Search on Bibsonomy DAC The full citation details ... 2007 DBLP  BibTeX  RDF
12Behzad Akbarpour, Sofiène Tahar An approach for the formal verification of DSP designs using Theorem proving. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Silvio Misera, Heinrich Theodor Vierhaus, Lars Breitenfeld, André Sieber A Mixed Language Fault Simulation of VHDL and SystemC. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Steffen Knapp, Wolfgang J. Paul Realistic Worst-Case Execution Time Analysis in the Context of Pervasive System Verification. Search on Bibsonomy Program Analysis and Compilation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Christian Jacobi 0002, Christoph Berg Formal Verification of the VAMP Floating Point Unit. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IEEE standard 754, formal verification, theorem proving, PVS, floating point unit
12Loganathan Lingappan, Niraj K. Jha Unsatisfiability Based Efficient Design for Testability Solution for Register-Transfer Level Circuits. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Benton H. Calhoun, Frank Honoré, Anantha P. Chandrakasan Design methodology for fine-grained leakage control in MTCMOS. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fine-grain sleep regions, sneak leakage, low power, design methodology, leakage, circuit design, sleep mode, MTCMOS
12Qing Wu 0002, Qinru Qiu, Massoud Pedram Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Rolf Drechsler, Wolfgang Günther 0001, Lothar Linhard, Gerhard Angst Level Assignment for Displaying Combinational Logic. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Montek Singh, Steven M. Nowick Synthesis for logical initializability of synchronous finite-state machines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Sofiène Tahar, Xiaoyu Song, Eduard Cerny, Zijian Zhou 0001, Michel Langevin, Otmane Aït Mohamed Modeling and formal verification of the Fairisle ATM switch fabricusing MDGs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Kensaburo Alfredo Tamura Locating Functional Errors in Logic Circuits. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Yoshiharu Kazama, Yoshiaki Kinoshita, Motonobu Nagafuji, Hiroshi Murayama Algorithm for Vectorizing Logic Simulation and Evaluation of "VELVET" Performance. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
12Chien-Hung Chao, F. Gail Gray Micro-operation Perturbations in Chip Level Fault Modeling. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
11Levent Aksoy, Diego Jaccottet, Eduardo Costa 0001 Design of low complexity digital FIR filters. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-level synthesis, multiple constant multiplications, multiplierless filter design, high-level synthesis, array multipliers
11Sriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Oliver Schliebusch, Anupam Chattopadhyay, Ernst Martin Witte, David Kammler, Gerd Ascheid, Rainer Leupers, Heinrich Meyr Optimization Techniques for ADL-Driven RTL Processor Synthesis. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Debayan Bhaduri, Sandeep K. Shukla NANOPRISM: a tool for evaluating granularity vs. reliability trade-offs in nano architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CTMR, defect-tolerant architecture, nanotechnology, granularity, TMR, PRISM
11Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria An instruction-level energy model for embedded VLIW architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Yi-Min Jiang, Han Young Koh, Kwang-Ting Cheng HRM - A Hierarchical Simulator for Full-Chip Power Network Reliability Analysis. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Marie-Lise Flottes, R. Pires, Bruno Rouzeyre Analyzing testability from behavioral to RT level. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Christopher A. Ryan, Joseph G. Tront FX: a fast approximate fault simulator for the switch-level using VHDL. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Mario García-Valderas, Luis Entrena, Raúl Fernández Cardenal, Celia López-Ongil, Marta Portela-García SET Emulation Under a Quantized Delay Model. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fault emulation, Fault tolerance, Fault injection, Single event transients
10Wei Zhang 0012, Niraj K. Jha, Li Shang A hybrid Nano/CMOS dynamically reconfigurable system - Part II: Design optimization flow. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design optimization flow, logic folding, Dynamic reconfiguration, NATURE
10Miroslav Knezevic, Kazuo Sakiyama, Yong Ki Lee, Ingrid Verbauwhede On the high-throughput implementation of RIPEMD-160 hash algorithm. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yousra Alkabani, Farinaz Koushanfar, Negar Kiyavash, Miodrag Potkonjak Trusted Integrated Circuits: A Nondestructive Hidden Characteristics Extraction Approach. Search on Bibsonomy Information Hiding The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kees Goossens, Bart Vermeulen, Remco van Steeden, Martijn T. Bennebroek Transaction-Based Communication-Centric Debug. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Lijun Li, Carl Tropper A Design-Driven Partitioning Algorithm for Distributed Verilog Simulation. Search on Bibsonomy PADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ashesh Rastogi, Kunal P. Ganeshpure, Sandip Kundu A Study on Impact of Leakage Current on Dynamic Power. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Helia Naeimi, André DeHon Fault Secure Encoder and Decoder for Memory Applications. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Julien Schmaltz A Formal Model of Clock Domain Crossing and Automated Verification of Time-Triggered Hardware. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Wei Zhang 0012, Li Shang, Niraj K. Jha NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shweta Shah, Nazanin Mansouri, Adrián Núñez-Aldana Pre-Layout Estimation of Interconnect Lengths for Digital Integrated Circuits. Search on Bibsonomy CONIELECOMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Murari Mani, Ashish Kumar Singh, Michael Orshansky Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sreeram Chandrasekar, V. Visvanathan, Gaurav Kumar Varshney Application of DC Transfer Characteristics in the Elimination of Redundant Vectors for Transient Noise Characterization of Static CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Vishal Khandelwal, Ankur Srivastava 0001 Active mode leakage reduction using fine-grained forward body biasing strategy. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF forward body biasing, leakage power optimization
10Carlos A. Coello Coello, Erika Hernández Luna, Arturo Hernández Aguirre Use of Particle Swarm Optimization to Design Combinational Logic Circuits. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Abdelaziz Ammari, Régis Leveugle, Matteo Sonza Reorda, Massimo Violante Detailed Comparison of Dependability Analyses Performed at RT and Gate Levels. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tai-Hung Liu, Adnan Aziz, Vigyan Singhal Optimizing designs containing black boxes. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IP-based design, hierarchical logic synthesis, Don't cares
10Reiner W. Hartenstein Reconfigurable Computing: A New Business Model and its Impact on SoC Design. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Silvia Chiusano, Fulvio Corno, Paolo Prinetto A Test Pattern Generation Algorithm Exploiting Behavioral Information. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Daniel G. Saab, Youssef Saab, Jacob A. Abraham Automatic test vector cultivation for sequential VLSI circuits using genetic algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Warren H. Debany Jr., Kevin A. Kwiat, Sami A. Al-Arian A Method for Consistent Fault Coverage Reporting. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Bernhard Hoppe, Gerd Neuendorf, Doris Schmitt-Landsiedel, J. Will Specks Optimization of high-speed CMOS logic circuits with analytical models for signal delay, chip area, and dynamic power dissipation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Hyung Ki Lee, Dong Sam Ha SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Niraj K. Jha Testing for multiple faults in domino-CMOS logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
10Gerd Krüger 0001 Automatic generation of self-test programs - a new feature of the MIMOLA design system. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
10Anil K. Gupta, James R. Armstrong Functional fault modeling and simulation for VLSI devices. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
10Danilo Ravotto, Edgar E. Sánchez, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero On Automatic Test Block Generation for Peripheral Testing in SoCs via Dynamic FSMs Extraction. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10József Sziray Test Calculation for Logic and Delay Faults in Digital Circuits. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Test-pattern calculation, logic faults, CMOS transistor structures, functional testing, delay faults, multi-valued logic
10Jaan Raik, Tanel Nõmmeots, Raimund Ubar A New Testability Calculation Method to Guide RTL Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test pattern generation, register-transfer level, decision diagrams, testability measures
10Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero High-Level Observability for Effective High-Level ATPG. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Vamsi Krishna, N. Ranganathan A Methodology for High Level Power Estimation and Exploration. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Low Power Designs, Power Estimation, Switching Activity, High Level Designs
10Dhruva R. Chakrabarti, Ajai Jain An improved hierarchical test generation technique for combinational circuits with repetitive sub-circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hierarchical test generation technique, repetitive subcircuits, hierarchical testing algorithm, bus fault model, high-level subcircuits, high level incompatibility, test generation time, complete fault coverage, computational complexity, fault diagnosis, logic testing, high level synthesis, design for testability, design for testability, ATPG, combinational circuits, combinational circuits, logic CAD, automatic test software, signal flow graphs, state transition graph
10Lawrence P. Huang, Randal E. Bryant Intractability in linear switch-level simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Gabriel M. Silberman, Ilan Y. Spillinger Using functional fault simulation and the difference fault model to estimate implementation fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Benjamin Vigoda, David Reynolds, Jeffrey Bernstein, Theophane Weber, Bill Bradley Low power logic for statistical inference. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF device physics, probability programming language, stochastic circuits, fault tolerance, markov chain monte carlo, generative model, belief propagation, gibbs sampling, probabilistic graphical model
9Mawahib Hussein Sulieman On the Reliability of Interconnected CMOS Gates Considering MOSFET Threshold-Voltage Variations. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Reliability, CMOS, threshold voltage, gates
9Benjamin Carrión Schäfer, Taewhan Kim Hotspots Elimination and Temperature Flattening in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Subthreshold CMOS Through Output-Wired Redundancy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Subthreshold CMOS, Output-wired redundancy, Yield and defect tolerance
9Sylvain Guilley, Laurent Sauvage, Jean-Luc Danger, Philippe Hoogvorst Area optimization of cryptographic co-processors implemented in dual-rail with precharge positive logic. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Muzhou Shao Fast Timing Update under the Effect of IR Drop. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yu Cao, Lawrence T. Clark Mapping Statistical Process Variations Toward Circuit Performance Variability: An Analytical Modeling Approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Snorre Aunet, Hans Kristian Otnes Berge Statistical Simulations for Exploring Defect Tolerance and Power Consumption for 4 Subthreshold 1-Bit Addition Circuits. Search on Bibsonomy IWANN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mojtaba Mehrara, Mona Attariyan, Smitha Shyam, Kypros Constantinides, Valeria Bertacco, Todd M. Austin Low-cost protection for SER upsets and silicon defects. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Multifunction Subthreshold CMOS Gates. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Shuguang Zhao, Licheng Jiao, Jun Zhao Multi-objective Evolutionary Design and Knowledge Discovery of Logic Circuits with an Improved Genetic Algorithm. Search on Bibsonomy CIS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driver output model for on-chip RLC transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9O. Milter, Avinoam Kolodny Crosstalk noise reduction in synthesized digital logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Robert B. Reese, Mitchell A. Thornton, Cherrice Traver A Fine-Grain Phased Logic CPU. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Reza Sedaghat A fast algorithm to reduce 2-dimensional assignment problems to 1-dimensional assignment problems for FPGA-based fault simulation. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Luc Séméria, Renu Mehra, Barry M. Pangrle, Arjuna Ekanayake, Andrew Seawright, Daniel Ng RTL c-based methodology for designing and verifying a multi-threaded processor. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF formal equivalence, design, verification, RTL, checking, C/C++
9Bruno Franzini, Cristiano Forzan, Davide Pandini, Primo Scandolara, Alessandro Dal Fabbro Crosstalk Aware Static Timing Analysis: A Two Step Approach. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Jason Cong, Hui Huang 0001 Depth optimal incremental mapping for field programmable gate arrays. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Chingwei Yeh, Min-Cheng Chang, Shih-Chieh Chang, Wen-Ben Jone Power reduction through iterative gate sizing and voltage scaling. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Jason Masner, John Cavalieri, James F. Frenzel, James A. Foster Representation and Robustness for Evolved Sorting Networks. Search on Bibsonomy Evolvable Hardware The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Michael J. Wirthlin, Brad L. Hutchings Improving functional density using run-time circuit reconfiguration [FPGAs]. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Mitrajit Chatterjee, Dhiraj K. Pradhan, Wolfgang Kunz LOT: Logic Optimization with Testability. New transformations for logic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Douglas Chang, Malgorzata Marek-Sadowska Partitioning Sequential Circuits on Dynamically Reconfiguable FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9J. Gong, Eddie M. C. Wong Verification of Asynchronous Circuits with Bounded Inertial Gate Delays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Tatiana Kalganova, Julian F. Miller, Terence C. Fogarty Some Aspects of an Evolvable Hardware Approach for Multiple-Valued Combinational Circuit Design. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Marcello Dalpasso, Michele Favalli A method for increasing the IDDQ testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Rajendran Panda, Farid N. Najm Technology-Dependent Transformations for Low-Power Synthesis. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Yih-Lang Li, Cheng-Wen Wu Cellular automata for efficient parallel logic and fault simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Wei-Liang Lin, Majid Sarrafzadeh, Chak-Kuen Wong The reproducing placement problem with applications. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Ahmet N. Parlakbilek, David M. Lewis A multiple-strength multiple-delay compiled-code logic simulator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Zaifu Zhang, Robert D. McLeod, Witold Pedrycz A neural network algorithm for testing stuck-open faults in CMOS combinational circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF stuck-open and gate delay faults, Neural networks, test pattern generation
9Sudeep Pasricha, Young-Hwan Park, Nikil D. Dutt, Fadi J. Kurdahi System-level PVT variation-aware power exploration of on-chip communication architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PVT variation, on-chip communication architectures, performance exploration, high-level synthesis, power estimation, digital systems
9Sudeep Pasricha, Young-Hwan Park, Fadi J. Kurdahi, Nikil D. Dutt Incorporating PVT Variations in System-Level Power Exploration of On-Chip Communication Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Dan Crisu, Sorin Cotofana, Stamatis Vassiliadis, Petri Liuha High-Level Energy Estimation for ARM-Based SOCs. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Li Shen 0002 RTL Concurrent Fault Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF concurrent fault simulation, fault model, RTL, high-level testing, circuit modeling
9Karen Panetta Lentz, Jonathan B. Homer Handling Behavioral Components in Multi-Level Concurrent Fault Simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF concurrent fault simulation, simulation, behavioral modeling, multilevel
9L. Ivanov, R. Nunna, S. Bloom Modeling and analysis of noniterated systems: an approach based upon series-parallel posets. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9K. J. Singh, P. A. Subrahmanyam Extracting RTL models from transistor netlists. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Switch-level simulation, Formal verification, Extraction, RTL model
Displaying result #601 - #700 of 1066 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license