The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Architectures with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1976 (20) 1977 (15) 1978 (25) 1979 (17) 1980 (22) 1981 (28) 1982 (39) 1983 (93) 1984 (51) 1985 (68) 1986 (143) 1987 (195) 1988 (271) 1989 (473) 1990 (388) 1991 (374) 1992 (552) 1993 (595) 1994 (805) 1995 (635) 1996 (709) 1997 (714) 1998 (710) 1999 (866) 2000 (1076) 2001 (1066) 2002 (1309) 2003 (1675) 2004 (1877) 2005 (2323) 2006 (2499) 2007 (2797) 2008 (3160) 2009 (2263) 2010 (1837) 2011 (1919) 2012 (1472) 2013 (1393) 2014 (1698) 2015 (1813) 2016 (1693) 2017 (1675) 2018 (1918) 2019 (1720) 2020 (1734) 2021 (1539) 2022 (1497) 2023 (1583) 2024 (253)
Publication types (Num. hits)
article(10156) book(171) data(2) incollection(671) inproceedings(38713) phdthesis(1323) proceedings(561)
Venues (Conferences, Journals, ...)
SPAA(1561) CoRR(1522) ASAP(1110) PACT(995) SIGCOMM(908) DSD(882) BICA(635) ISPAN(629) SAMOS(622) ARC(569) ICA3PP (1)(519) ICA3PP (2)(501) PAAP(488) NANOARCH(466) DASIP(405) SPA(404) More (+10 of total 4851)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 26884 occurrences of 7514 keywords

Results
Found 51597 publication records. Showing 51597 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Qing Yang 0001 Performance Analysis of a Cache-Coherent Multiprocessor Based on Hierarchical Multiple Buses. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Mohan Ahuja, Kannan Varadhan, Amitabh Sinha Flush Message Passing in Communicating Sequential Processes. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Hessa Al-Jaber, Shmuel Rotenstreich Fault Tolerance of Message Delivery with Cascading Copies. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22T. D. Roziner Systolic Macropipelines for Multidimensional Fourier Transforms. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Peter J. Varman, Balakrishna R. Iyer, Donald J. Haderle Parallel Merging on Shared and Distributed Memory Computers. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Jai Eun Jang An Optimal Fault-Tolerant Broadcasting Algorithm for a Cube-Connected Cycles Multiprocessor. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Jie Wu 0001, Eduardo B. Fernández The Extended G-Network, a Fault-Tolerant Interconnection Network for the Multiprocessors. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22V. Prasad Krothapalli, P. Sadayappan Dynamic Scheduling of DOACROSS Loops for Multiprocessors. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Manohar Rao, Zary Segall Implementation and Evaluation of a Parallel PMS Simulator. Search on Bibsonomy PARBASE / Architectures The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Andreas Alexander Albrecht, Hermann Jung 0001, Kurt Mehlhorn (eds.) Parallel Algorithms and Architectures, International Workshop, Suhl, GDR, May 25-30, 1987, Proceedings Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Didier Ferment, Brigitte Rozoy Solutions for the Distributed Termination Problem. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Edgar Körner, T. Tsuda, H. Shimizu Parallel in Sequence - Towards the Architecture of an Elementary Cortical Processor. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Akihiko Konagaya, Ryosei Nakazaki, Mamoru Umemura A Co-Operative Programming Environment for a Back-End Type Sequential Inference Machine CHI. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Helmut Alt, Torben Hagerup, Kurt Mehlhorn, Franco P. Preparata Deterministic Simulation of Idealized Parallel Computers on more Realistic Ones. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Burkhard Monien, Oliver Vornberger Parallel Processing of Combinatorial Search. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Clark D. Thomborson, Linda L. Deneen, Gary M. Shute Computing a Rectilinear Steiner Minimal Tree in nO(sqrt(n)) Time. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Nikolay N. Mirenkov Parallel Algorithms and Static Analysis of Parallel Programs. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Chee-Keng Yap What Can be Parallelized in Computational Geometry?. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Tetsuo Asano, Hiroshi Umeo Systolic Algorithms for Computing the Visibility Polygon and Triangulation of A Polygonal Region. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Krzysztof Diks Parallel Recognition of Outerplanar Graphs. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Wojciech Rytter, Raffaele Giancarlo Optimal Parallel Parsing of Bracket Languages. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Reiner Creutzburg Parallel Linear Conflict-Free Subtree Access. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Alberto Apostolico, Costas S. Iliopoulos, Robert Paige On O(n log n) Cost Parallel Algorithm for the Single Function Coarsest Partition Problem. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Rex A. Dwyer, Ravi Kannan Convex Hull of Randomly Chosen Points from A Polytope. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22D. De Baer, Jan Paredaens A Formal Definition for Systolic Systems. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Friedmar Wächter Optimization of Special Permutation Networks Using Simple Algebraic Relations. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Lothar Budach, Ernst-Günter Giessmann, Hubert Grassmann, Bernd Graw, Christoph Meinel RELACS - A Recursive Layout Computing System. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Wojciech Rytter A Note on Optimal Parallel Transformations of Regular Expressions to Nondeterministic Finite Automata. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Imrich Vrto Area-time Tradeoffs for Selection. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Dietmar Uhlig On Reliable Networks from Unreliable Gates. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Jayantha A. Herath, Toshitsugu Yuba, Nobuo Saito Dataflow Computing. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Michael Gössel, R. Rebel Memories for Parallel Subtree-Access. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Shogo Matsui, Yoshinobu Kato, Shinsuke Teramura, Tomoyuki Tanaka, Nobuyuki Mohri, Atsushi Maeda, Masakazu Nakanishi SYNAPSE: A Multi-Microprocessor Lisp Machine with Parallel Garbage Collector. Search on Bibsonomy Parallel Algorithms and Architectures The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Andy Yan, Steven J. E. Wilton Product-Term-Based Synthesizable Embedded Programmable Logic Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Deepak Rautela, Rajendra S. Katti Efficient utilization of heterogeneous routing resources for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nonuniform cache architectures, parallel architectures, multicore, cache memories, data placement
21Paolo Bonzini, Giovanni Ansaloni, Laura Pozzi Compiling custom instructions onto expression-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF horizontal microprogramming, compilers, instruction set extensions, coarse-grained reconfigurable architectures, data-flow architectures
21Jayaram Mudigonda, Harrick M. Vin, Stephen W. Keckler Reconciling performance and programmability in networking systems. Search on Bibsonomy SIGCOMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memoty bottleneck, multithreading, reconfigurable architectures, routers, data cache, processor architectures, packet processing
21Lawrence Cabac, Michael Duvigneau, Daniel Moldt, Heiko Rölke Multi-agent concepts as basis for dynamic plug-in software architectures. Search on Bibsonomy AAMAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF modeling, multi-agent systems, components, high-level Petri nets, renew, reference nets, nets-within-nets, dynamic software architectures, plug-in architectures, mulan
21Shashank S. Nemawarkar, Guang R. Gao Latency Tolerance: A Metric for Performance Analysis of Multithreaded Architectures. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance analysis metric, multithreaded multiprocessor systems, tolerance index, program workload parameters, fine grain parallel program workloads, parallel architectures, multithreaded architectures, latency tolerance, closed queueing networks, analytical framework
21Yunn Yen Chen, Jih-Kwon Peir, Chung-Ta King Performance of Shared Cache on Multithreaded Architectures. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF shared cache performance, trace-driven simulation technique, storage hierarchy system, multithreaded execution environment, multithread scheduling techniques, server/workstation workload mix, MRU priority scheduling scheme, round-robin scheduling method, absolute hit ratio, concurrent threads, simulation, performance evaluation, parallel architectures, shared memory systems, processor scheduling, cache storage, multithreaded architectures, program traces, set associativity, cache size, direct-map cache
21Eliseu M. Chaves Filho, Edil S. T. Fernandes, Andrew Wolfe Load Balancing in Superscalar Architectures. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple functional units, parallel instruction execution, processor throughput, dynamic instruction-issuing algorithm, performance, load balancing, parallel architectures, instruction-level parallelism, superscalar processors, application program, computational load, superscalar architectures, hardware resources
21Zhiwei Xu, Kai Hwang 0001 MPPs and clusters for scalable computing. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scalable parallel computing, Cray T3D/T3E, ASCI TeraFLOPS, performance evaluation, scalability, parallel architectures, reconfigurable architectures, clusters of workstations, Intel Paragon, Intel, massively parallel processors, performance attributes, scalable computing, MPPs, IBM SP2
21Issei Numata, Susumu Horiguchi Efficient reconfiguration scheme for mesh-connected network: the recursive shift approach. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mesh-connected network, recursive shift, faulty processing elements, mesh arrays, redundant processing elements, fault tolerance, parallel architectures, fault tolerant computing, multiprocessor interconnection networks, reconfigurable architectures, massively parallel system, reconfiguration scheme
21Mounir Hamdi, Yi Pan 0001 Communication-efficient algorithms on reconfigurable array of processors with spanning optical buses. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reconfigurable array of processors, spanning optical buses, optical signal transmissions, RASOB, semi-group computations, parallel algorithms, parallel architectures, reconfiguration, reconfigurable architectures, optical interconnections, Gaussian eliminations
21Virginio Cantoni, Luca Lombardi Hierarchical architectures for computer vision. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF general planning strategies, performance evaluation, computer vision, computer vision, image processing, parallel architectures, hierarchical architectures, computer performance, sensory data
21Arindam Saha A simulator for real-time parallel processing architectures. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time parallel processing architectures, time-driven flit-based wormhole-routed parallel processor network simulator, user-friendly graphical user interface, prioritized queues, resource allocation policies, message priorities, average latency convergence, throughput monitoring, communication characteristics, performance, real-time systems, resource allocation, parallel architectures, graphical user interfaces, virtual machines, concurrency control, convergence, deadlocks, virtual channel, overlaps, real-time networks
21Phyllis Crandall, Michael J. Quinn Non-uniform 2-D grid partitioning for heterogeneous parallel architectures. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonuniform 2D grid partitioning, heterogeneous parallel architectures, uniform computational requirements, block decomposition method, Fair Binary Recursive Decomposition, performance level, performance evaluation, parallel architectures, decomposition technique
21Gopal Chillariga, Balkrishna Ramkumar Performance prediction for portable parallel execution on MIMD architectures. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF portable parallel execution, parallel program development, simulation based approach, portable-parallel programs, Charm, message driven programming environment, program portability, MIMD parallel systems, single debugging environment, portable parallel software, parallel programming, parallel architectures, feedback, program verification, performance prediction, parallel systems, program debugging, software portability, program correctness, performance debugging, performance bottlenecks, MIMD architectures, program performance
21Martin C. Herbordt, Charles C. Weems An empirical study of datapath, memory hierarchy, and network in SIMD array architectures. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SIMD array architectures, SIMD arrays, ENPASSANT, router network, local transfers, performance evaluation, performance, parallel architectures, broadcast, virtual machines, memory hierarchy, reduction, associativity, memory architecture, cache storage, simulation environment, datapath, block size
21Alan Olson, Kang G. Shin Fault-Tolerant Routing in Mesh Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF distributedcomputing system, fault-tolerant routing algorithm, routing scheme performance, square meshes, high probability, parallel architectures, fault tolerant computing, message passing, software reliability, network routing, torus, fault-tolerant routing, message routing, destination, parallelalgorithms, source, mesh architectures, hexagonal mesh, hexagonal meshes
21Mayez A. Al-Mouhamed Analysis of Macro-Dataflow Dynamic Scheduling on Nonuniform Memory Access Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF macro-dataflow dynamic scheduling, nonuniform memory access architectures, computational tasks, least-communication, finish time, first-come first-served scheduling, scheduling, computational complexity, parallel programming, parallel architectures, time complexity, granularity, communication costs, data transfer, multiprocessing programs, scheduling heuristic
21Gilbert C. Sih, Edward A. Lee A Compile-Time Scheduling Heuristic for Interconnection-Constrained Heterogeneous Processor Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF spatial dimensions, compile-time scheduling heuristic, interconnection-constrainedheterogeneous processor architectures, dynamic level scheduling, communicating tasks, scheduling, parallel architectures, temporal dimensions
21Chaitali Chakrabarti, Joseph F. JáJá Systolic Architectures for the Computation of the Discrete Hartley and the Discrete Cosine Transforms Based on Prime Factor Decomposition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF discrete Hartley, prime factor decomposition, two-dimensional systolic arrays, binary arithmetic, parallel architectures, fast Fourier transforms, discrete cosine transforms, hardware design, systolic architectures
21A. L. Narasimha Reddy, Prithviraj Banerjee Design, Analysis, and Simulation of I/O Architectures for Hypercube. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF I/O architectures, I/O access, disk organizations, scientific workload, performance evaluation, parallelism, data structures, parallel architectures, multiprocessor interconnection networks, multiprocessing systems, memory architecture, matrices, hypercube multiprocessors, multiprocessor network
21Mark A. Yoder, Leah H. Jamieson Simulation of a Word Recognition System on Two Parallel Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF word recognition system, VLSI processor array, 8-MHz MC68000, 12-MHz Intel 8051, simulations, parallel algorithms, parallel algorithms, parallel architectures, parallel architectures, speech recognition, SIMD, digital simulation
21Steven R. Vegdahl A Survey of Proposed Architectures for the Execution of Functional Languages. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF demand-drive architectures, programming languages, functional programming, Computer architecture, data flow, multiprocessing, data-driven architectures
21Haeng-Kon Kim, Roger Y. Lee MS2Web: Applying MDA and SOA to Web Services. Search on Bibsonomy Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SOA (Service Oriented Architecture), MDA(Model Driven Architectures), WSDL(Web Services Description Language), Dynamic Web services, Model Translation
21Yan Liu 0001, Muhammad Ali Babar 0001, Ian Gorton Middleware Architecture Evaluation for Dependable Self-managing Systems. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Heiko Koziolek, Roland Weiss, Jens Doppelhamer Evolving Industrial Software Architectures into a Software Product Line: A Case Study. Search on Bibsonomy QoSA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Heiko Koziolek, Steffen Becker 0001, Jens Happe Predicting the Performance of Component-Based Software Architectures with Different Usage Profiles. Search on Bibsonomy QoSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Vincenzo Grassi, Raffaela Mirandola, Antonino Sabetta An XML-Based Language to Support Performance and Reliability Modeling and Analysis in Software Architectures. Search on Bibsonomy QoSA/SOQUA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Werner Damm, Gert Döhmen An Axiomatic Approach to the Specification of Distributed Computer Architectures. Search on Bibsonomy PARLE (1) The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
21Adrian Paschke, Paul Vincent A reference architecture for Event Processing. Search on Bibsonomy DEBS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF system architectures, complex event processing, reference architecture, domain-specific architectures
21Mehboob Alam, Wael M. Badawy, Vassil S. Dimitrov, Graham A. Jullien An Efficient Architecture for a Lifted 2D Biorthogonal DWT. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF biorthogonal transform, wavelet architectures, lifted architectures, Mallats algorithms, image compression, discrete wavelet transforms, lifting
21Chia-Hsing Chien, Mark A. Franklin, Tienyo Pan, Prithvi Prabhu ARAS: asynchronous RISC architecture simulator. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous RISC architecture simulator, ARAS, pipeline instruction simulator, benchmark programs, pipeline configuration, asynchronous pipeline architectures, performance evaluation, parallel architectures, virtual machines, performance measurements, pipeline processing
21Gary S. H. Tan, Yong Meng Teo Experiences in simulating a declarative multiprocessor. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF declarative multiprocessor simulation, declarative programming languages, Flagship parallel reduction machine, packet-based graph reduction model, executional units, timing characteristics, event-driven timing scheme, tightly-coupled processor-store pairs, performance evaluation, performance evaluation, parallel architectures, virtual machines, timing, parallel machines, synchronisation, synchronisation, parallel languages, functional languages, functional languages, functional simulator, parallel computer architectures, MIMD architecture, delta network
21Robert Yung, Neil C. Wilhelm Caching processor general registers. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF caching processor general registers, processor cycle time requirements, small register cache, register caching, windowed-register architectures, parallel architectures, performance model, memory architecture, cache storage, register file
21Jeffrey S. Chase, Henry M. Levy, Michael J. Feeley, Edward D. Lazowska Sharing and Protection in a Single-Address-Space Operating System. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF capability-based systems, microkernel operating systems, single-address-space operating systems, wide-address architectures, protection, object-oriented database systems, persistent storage, 64-bit architectures
21Goetz Graefe, Diane L. Davison Encapsulation of Parallelism and Architecture-Independence in Extensible Database Query Execution. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF extensible database query execution, database application domains, high functionality, Volcano query execution engine, query processing operators, arbitrarily complex query evaluation plans, data manipulation operators, exchange operator, generalized exchange operator, database query processing software, bit vector filtering, parallel programming, parallelism, query processing, debugging, distributed databases, computer architectures, high performance, hierarchical architectures
21Jean-Luc Gaudiot, Andrew Sohn Data-Driven Parallel Production Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF parallel production systems, data-flow principles, high programmability, data-driven principles, RETE match algorithm, actor set, program graph design, tagged data-flow computer, deterministic simulation, artificial intelligence production systems, parallel programming, parallel architectures, expert systems, symbolic computations, symbol manipulation, multiprocessor architecture, numerical computations, multiprocessor environment, data-driven architectures
20Pavel G. Zaykov, Georgi Kuzmanov, Georgi Nedeltchev Gaydadjiev Reconfigurable Multithreading Architectures: A Survey. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Javier Vera, Francisco J. Cazorla, Alex Pajuelo, Oliverio J. Santana, Enrique Fernández, Mateo Valero FAME: FAirly MEasuring Multithreaded Architectures. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Aino Vonge Corry, Klaus Marius Hansen, David Svensson Traveling Architects - A New Way of Herding Cats. Search on Bibsonomy QoSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Arjun K. Pai, Khaled Benkrid, Danny Crookes Embedded Reconfigurable DCT Architectures Using Adder-Based Distributed Arithmetic. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Esther Salamí, Mateo Valero Initial Evaluation of Multimedia Extensions on VLIW Architectures. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Yi Qian, Steve Carr 0001, Philip H. Sweany Optimizing Loop Performance for Clustered VLIW Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Peter Pirsch, Achim Freimann, C. Klar, Jens Peter Wittenburg Processor Architectures for Multimedia Applications. Search on Bibsonomy Embedded Processor Design Challenges The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Alexander Egyed, Nikunj R. Mehta, Nenad Medvidovic Software Connectors and Refinement in Family Architectures. Search on Bibsonomy IW-SAPF The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Simone Marini, Maurizio Martelli, Viviana Mascardi, Floriano Zini Specification of Heterogeneous Agent Architectures. Search on Bibsonomy ATAL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Vijay Anand Korthikanti, Gul Agha Towards optimizing energy costs of algorithms for shared memory architectures. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, parallel algorithms, energy, shared memory architectures
20Muhammad Umar Farooq 0003, Lizy Kurian John, Margarida F. Jacome Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Tiled dataflow architectures, predication, power-performance trade-offs
20Nicola Zingirian, Massimo Maresca Loop Regularization for Image and Video Processing on Instruction Level Parallel Architectures. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF loop regularization, instruction level parallel architectures, instruction reordering, image processing, embedded systems, embedded systems, video processing, digital signal processors, register renaming
20Stijn Notebaert, Jan De Cock, Samie Beheydt, Jan de Lameillieure, Rik Van de Walle Mixed architectures for H.264/AVC digital video transrating. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Transrating, H.264/AVC, Transcoding, Video signal processing, Requantization
20Behzad Khademian, Keyvan Hashtrudi-Zaad Novel shared control architectures for enhanced users' interaction in haptic training simulation systems. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Junchang Wang, Haipeng Cheng, Bei Hua, Xinan Tang Practice of parallelizing network applications on multi-core architectures. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application-level protocol processing, deep content inspection, lock-free data structures, multi-core parallelization, pipelining implementation, tcp/ip protocol processing
20Sebastian Lange, Martin Middendorf Design Aspects of Multi-level Reconfigurable Architectures. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-level reconfiguration, dynamic reconfiguration, reconfigurable architecture
20Min Li 0001, Bruno Bougard, Weiyu Xu, David Novo, Liesbet Van der Perre, Francky Catthoor Optimizing Near-ML MIMO Detector for SDR Baseband on Parallel Programmable Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew, Tin-Fook Ngai Efficiency of thread-level speculation in SMT and CMP architectures - performance, power and thermal perspective. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Stephen Neuendorffer, Kees A. Vissers Streaming Systems in FPGAs. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF digital convergence, FPGAs, dataflow
20Wangyuan Zhang, Xin Fu, Tao Li 0006, José A. B. Fortes An Analysis of Microarchitecture Vulnerability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-aware reliability optimization, microarchitecture vulnerability, simultaneous multithreaded architecture, semiconductor transient fault, microprocessor reliability, processor throughput, soft error vulnerability analysis, SPEC CPU 2000 benchmark, microarchitecture structure, microarchitecture reliability profile, fetch policy, thread-level parallelism, multithreading architecture
20Rahul Nagpal, Y. N. Srikant Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Assem Kaylani, Ahmad A. Al-Daraiseh, Michael Georgiopoulos, Mansooreh Mollaghasemi, Georgios C. Anagnostopoulos, Annie S. Wu Genetic Optimization of ART Neural Network Architectures. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Yu-Wen Huang, Ching-Yeh Chen, Chen-Han Tsai, Chun-Fu Shen, Liang-Gee Chen Survey on Block Matching Motion Estimation Algorithms and Architectures with New Results. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF global elimination algorithm, motion estimation, VLSI architecture, block matching
20Debayan Bhaduri, Sandeep K. Shukla, Deji Coker, Valerie E. Taylor, Paul S. Graham, Maya B. Gokhale A hybrid framework for design and analysis of fault-tolerant architectures. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sasa Junuzovic, Prasun Dewan Response times in N-user replicated, centralized, and proximity-based hybrid collaboration architectures. Search on Bibsonomy CSCW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analytical model, response time, collaboration architecture
20Zhiyuan Yan Digit-Serial Systolic Architectures for Inversions over GF(2m). Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk On-FPGA Communication Architectures and Design Factors. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Chao-Yang Yeh, Gustavo R. Wilke, Hongyu Chen, Subodh M. Reddy, Hoa-van Nguyen, Takashi Miyoshi, William W. Walker, Rajeev Murgai Clock Distribution Architectures: A Comparative Study. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Indra Widjaja Next-Generation Packet Network Architectures with Decoupled Service Plane and Transport Plane. Search on Bibsonomy BROADNETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 51597 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license