The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPD"( http://dblp.L3S.de/Venues/ISPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispd

Publication years (Num. hits)
1997 (34) 1998 (32) 1999 (33) 2000 (35) 2001 (36) 2002 (35) 2003 (32) 2004 (34) 2005 (45) 2006 (40) 2007 (33) 2008 (34) 2009 (34) 2010 (37) 2011 (31) 2012 (34) 2013 (39) 2014 (31) 2015 (30) 2016 (32) 2017 (32) 2018 (28) 2019 (40) 2020 (23) 2021 (27) 2022 (42) 2023 (50) 2024 (50)
Publication types (Num. hits)
inproceedings(956) proceedings(27)
Venues (Conferences, Journals, ...)
ISPD(983)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 841 occurrences of 340 keywords

Results
Found 983 publication records. Showing 983 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Geoffrey C.-F. Yeap Leakage current in low standby power and high performance devices: trends and challenges. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gate tunneling leakage, low standby power, off-state sub-threshold leakage, system-on-a-ship (SoC), high performance, CMOS technology, leakage current
1Prashant Saxena, Satyanarayan Gupta Shield count minimization in congested regions. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power routing, routing, noise, layout, crosstalk, shielding, high performance design, domino circuits
1Steven L. Teig Challenges and principles of physical design. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Evangeline F. Y. Young, Chris C. N. Chu, Zion Cien Shen Twin binary sequences: a non-redundant representation for general non-slicing floorplan. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Sachin S. Sapatnekar, Massoud Pedram (eds.) Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002 Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1H. Chang, Eugene Shragowitz, Jian Liu, Habib Youssef, Bing Lu, Suphachai Sutanthavibul Net criticality revisited: an effective method to improve timing in physical design. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF criticality metrics, net delay bound, routing, placement
1Bo Hu 0006, Malgorzata Marek-Sadowska FAR: fixed-points addition & relaxation based placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jason Cong Timing closure based on physical hierarchy. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect planning, logic hierarchy, physical hierarchy, retiming and pipelining, sequential arrival time, interconnect optimization, timing closure, multilevel optimization
1Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adjoint sensitivity, optimization, placement, ASICs, decoupling capacitor, power grid noise
1Wai-Kei Mak, Evangeline F. Y. Young Temporal logic replication for dynamically reconfigurable FPGA partitioning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Yongseok Cheon, D. F. Wong 0001 Design hierarchy guided multilevel circuit partitioning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustering, Rent's rule, circuit partitioning, design hierarchy
1Andrew B. Kahng A roadmap and vision for physical design. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Charles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Phillip J. Restle, Albert E. Ruehli, Steven G. Walker Multi-GHz interconnect effects in microprocessors. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF full-wave analysis, simulation, interconnect, inductance, extraction, clock distribution, circuit-tuning
1Yih-Chih Chou, Youn-Long Lin A performance-driven standard-cell placer based on a modified force-directed algorithm. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF placement, timing closure, force-directed
1Majid Sarrafzadeh, Elaheh Bozorgzadeh, Ryan Kastner, Ankur Srivastava 0001 Design and analysis of physical design algorithms. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Kolja Sulimma, Wolfgang Kunz An exact algorithm for solving difficult detailed routing problems. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Stephen P. Boyd, Lieven Vandenberghe, Abbas El Gamal, Sunghee Yun Design of robust global power and ground networks. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interconnect sizing, power and ground network design, convex optimization
1Wei-Jin Dai Hierarchical physical design methodology for multi-million gate chips. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF physical prototype, partitioning, placement, floorplanning, deep sub-micron, hierarchical design
1Yingxin Pang, Chung-Kuan Cheng, Koen Lampaert, Weize Xie Rectilinear block packing using O-tree representation. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ruiqi Tian, Xiaoping Tang, D. F. Wong 0001 Dummy feature placement for chemical-mechanical polishing uniformity in a shallow trench isolation process. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Franklin M. Schellenberg, Luigi Capodieci Impact of RET on physical layouts. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF off-axis illumination, physical verification, simulation, DFM, OPC, lithography, RET, phase-shifting, PSM
1Charles J. Alpert, Milos Hrkic, Jiang Hu, Andrew B. Kahng, John Lillis, Bao Liu 0001, Stephen T. Quay, Sachin S. Sapatnekar, A. J. Sullivan, Paul Villarrubia Buffered Steiner trees for difficult instances. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Bo Yao, Hongyu Chen, Chung-Kuan Cheng, Ronald L. Graham Revisiting floorplan representations. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Rajeev Jayaraman Physical design for FPGAs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, routing, placement, physical design
1Shigetoshi Nakatake, Yukiko Kubo, Yoji Kajitani Consistent floorplanning with super hierarchical constraints. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Sachin S. Sapatnekar, Manfred Wiesel (eds.) Proceedings of the 2001 International Symposium on Physical Design, ISPD 2001, Sonoma County, CA, USA, April 1-4, 2001 Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Fook-Luen Heng, Lars Liebmann, Jennifer Lund Application of automated design migration to alternating phase shift mask design. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF design migration, phase-shifting mask, resolution enchancement technique
1Jinan Lou, Shankar Krishnamoorthy, Henry S. Sheng Estimating routing congestion using probabilistic analysis. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Andrew R. Conn, Chandramouli Visweswariah Overview of continuous optimization advances and applications to circuit tuning. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Zhaoyun Xing, Russell Kao A minimum cost path search algorithm through tile obstacles. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF shortest path search, VLSI routing
1Wai-Kei Mak Min-cut partitioning with functional replication for technology mapped circuits using minimum area overhead. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF minimum cut, circuit partitioning, logic replication
1Warren Grobman, Robert Boone, Cece Philbin, Bob Jarvis Reticle enhancement technology trends: resource and manufacturability implications for the implementation of physical designs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Nancy Nettleton, Wolfgang Roethig, D. Hill, Majid Sarrafzadeh Differences in ASIC, COT and processor design (panel). Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ASIC
1Ting-Yuan Wang, Charlie Chung-Ping Chen Thermal-ADI: a linear-time chip-level dynamic thermal simulation algorithm based on alternating-direction-implicit (ADI) method. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1En-Cheng Liu, Ming-Shiun Lin, Jianbang Lai, Ting-Chi Wang Slicing floorplan design with boundary-constrained modules. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Yangdong Deng, Wojciech Maly Interconnect characteristics of 2.5-D system integration scheme. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 2.5-D system integration, bounded sliceline grid, VLSI, partition, placement, floorplanning, wirelength
1Fei Li 0003, Lei He 0001 Maximum current estimation considering power gating. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF low-power design, ATPG, power estimation, power gating
1Padmini Gopalakrishnan, Altan Odabasioglu, Lawrence T. Pileggi, Salil Raje Overcoming wireload model uncertainty during physical design. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Sabyasachi Das, Sunil P. Khatri A regularity-driven fast gridless detailed router for high frequency datapath designs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Patrick H. Madden Reporting of standard cell placement results. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Xiaojian Yang, Ryan Kastner, Majid Sarrafzadeh Congestion estimation during top-down placement. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Kaustav Banerjee, Massoud Pedram, Amir H. Ajami Analysis and optimization of thermal issues in high-performance VLSI. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Ankireddy Nalamalpu, Wayne P. Burleson Boosters for driving long on-chip interconnects: design issues, interconnect synthesis and comparison with repeaters. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF methodology, timing, interconnect, buffering
1Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh An exact algorithm for coupling-free routing. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Gi-Joon Nam, Fadi A. Aloul, Karem A. Sakallah, Rob A. Rutenbar A comparative study of two Boolean formulations of FPGA detailed routing constraints. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Tao Lin, Lawrence T. Pileggi RC(L) interconnect sizing with second order considerations via posynomial programming. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF RC trees, VLSI design automation, central moments, posynomiality, convex programming, interconnect optimization
1Shuo Zhou, Sheqin Dong, Chung-Kuan Cheng, Jun Gu ECBL: an extended corner block list with solution space including optimum placement. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Shiyou Zhao, Kaushik Roy 0001, Cheng-Kok Koh Decoupling capacitance allocation for power supply noise suppression. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interconnect sizing, power and ground network design, convex optimization
1Rob A. Rutenbar, John M. Cohn Layout tools for analog ICs and mixed-signal SoCs: a survey. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Iris Hui-Ru Jiang, Song-Ra Pan, Yao-Wen Chang, Jing-Yang Jou Optimal reliable crosstalk-driven interconnect optimization. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Li-Fu Chang, Keh-Jeng Chang, Robert Mathews Simulating frequency-dependent current distribution for inductance modeling of on-chip copper interconnects. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cladding material, copper interconnect, electromagnetic field solvers, skin-effect current distribution
1Frank Schmiedle, Daniel Unruh, Bernd Becker 0001 Exact switchbox routing with search space reduction. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Charles J. Alpert, Anirudh Devgan, Chandramouli V. Kashyap A two moment RC delay metric for performance optimization. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Chin-Chih Chang, Jason Cong Pseudo pin assignment with crosstalk noise control. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Xiaojian Yang, Maogang Wang, Kenneth Eguro, Majid Sarrafzadeh A snap-on placement tool. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Lauren Hui Chen, Malgorzata Marek-Sadowska Aggressor alignment for worst-case coupling noise. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF aggressor alignment, interconnect coupling, signal integrity, crosstalk noise, timing window
1Yu-Yen Mo, Chris C. N. Chu A hybrid dynamic/quadratic programming algorithm for interconnect tree optimization. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Maogang Wang, Xiaojian Yang, Kenneth Eguro, Majid Sarrafzadeh Multi-center congestion estimation and minimization during placement. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Fung Yu Young, Chris C. N. Chu, W. S. Luk, Y. C. Wong Floorplan area minimization using Lagrangian relaxation. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Rony Kay, Rob A. Rutenbar Wire packing: a strong formulation of crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Patrick Groeneveld, Jacob Greidinger, J. George Janac, Wilm E. Donath The right floorplanning formulations for future chip implementation methodologies (panel discussion - title only). Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Masanori Hashimoto, Hidetoshi Onodera A performance optimization method by gate sizing using statistical static timing analysis. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Christoph Albrecht Provably good global routing by a new approximation algorithm for multicommodity flow. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Suresh Raman, Sachin S. Sapatnekar, Charles J. Alpert Datapath routing based on a decongestion metric. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1D. Hill, Mark Gilbreath, Wayne Heideman, J. George Janac, Adriaan Ligtenberg EDA and the Internet (panel session - title only). Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Probir Sarkar, Vivek Sundararaman, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centric floorplanning. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Hai Zhou 0001, Adnan Aziz Buffer minimization in pass transistor logic. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Evanthia Papadopoulou Critical area computation for missing material defects in VLSI circuits. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1I-Min Liu, Tan-Li Chou, Adnan Aziz, D. F. Wong 0001 Zero-skew clock tree construction by simultaneous routing, wire sizing and buffer insertion. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Lei He 0001, Kevin M. Lepak Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI design automation, net ordering, noise minimization, shielding
1Manfred Wiesel, Dwight D. Hill (eds.) Proceedings of the 2000 International Symposium on Physical Design, ISPD 2000, San Diego, CA, USA, April 9-12, 2000 Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Masahiko Toyonaga, Keiichi Kurokawa, Takuya Yasui, Atsushi Takahashi 0001 A practical clock tree synthesis for semi-synchronous circuits. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF clock-input timing, environmental and manufacturing conditions, semi-synchronous, various timing clock tree, zero skew clock tree, clock scheduling
1Andrew B. Kahng Classical floorplanning harmful? Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI floorplanning, block packing and layout, coarse placement, hierarchical design methodology
1Utpal Desai, Simon M. Tam, Robert Kim, Ji Zhang, Stefan Rusu Itanium processor clock design. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Itanium processor, deskew, on-die-clock-shrink, clock distribution, IA-64
1Jason Cong, Jie Fang, Kei-Yong Khoo DUNE: a multi-layer gridless routing system with wire planning. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Jason Cong, Majid Sarrafzadeh Incremental physical design. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Rory McInerney, Kurt Leeper, Troy Hill, Heming Chan, Bulent Basaran, Lance McQuiddy Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RC delay, routing, timing, estimation, microprocessors, floorplan, repeaters
1Min Ouyang, Michel Toulouse, Krishnaiyan Thulasiraman, Fred W. Glover, Jitender S. Deogun Multilevel cooperative search: application to the circuit/hypergraph partitioning problem. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Naveed A. Sherwani The bottom-10 problems in EDA (panel session (title only)). Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Ralph H. J. M. Otten What is a floorplan?. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Xiaoping Tang, D. F. Wong 0001 Planning buffer locations by network flows. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Stefanus Mantik, Dirk Stroobandt Requirements for models of achievable routing. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI routing estimation, interconnect process optimization, via impact model
1Yingxin Pang, Chung-Kuan Cheng, Takeshi Yoshimura An enhanced perturbing algorithm for floorplan design using the O-tree representation. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Dennis Sylvester, Kurt Keutzer Getting to the bottom of deep submicron II: a global wiring paradigm. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Paul B. Morton, Wayne Wei-Ming Dai An efficient sequential quadratic programming formulation of optimal wire spacing for cross-talk noise avoidance routing. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Jeff Parkhurst, Naveed A. Sherwani, Sury Maturi, Dana Ahrams, Eli Chiprout SRC physical design top ten problem. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Charles J. Alpert, Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Partitioning with terminals: a "new" problem and new benchmarks. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Kunihiro Fujiyoshi, Hiroshi Murata Arbitrary convex and concave rectilinear block packing using sequence-pair. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Maogang Wang, Majid Sarrafzadeh On the behavior of congestion minimization during placement. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Joachim Pistorius, Edmée Legai, Michel Minoux Generation of very large circuits to benchmark the partitioning of FPGA. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Internet
1Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Optimal partitioners and end-case placers for standard-cell layout. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Post-routing timing optimization with routing characterization. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Desmond Kirkpatrick The deep sub-micron signal integrity challenge. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Fung Yu Young, D. F. Wong 0001 Slicing floorplans with range constraint. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Danqing Chen, Erhong Li, Elyse Rosenbaum, Sung-Mo Kang Interconnect thermal modeling for determining design limits on current density. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Amit Singh 0001, Malgorzata Marek-Sadowska Circuit clustering using graph coloring. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Y. C. Pati Subwavelength optical lithography: challenges and impact on physical design. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Jason Cong, Jie Fang, Kei-Yong Khoo VIA design rule consideration in multi-layer maze routing algorithms. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Phiroze N. Parakh, Richard B. Brown Crosstalk constrained global route embedding. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 983 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license