The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Shift with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1961 (17) 1962-1965 (15) 1966-1968 (18) 1969-1970 (19) 1971-1972 (21) 1973-1974 (21) 1975 (21) 1976 (19) 1977-1978 (32) 1979-1980 (22) 1981-1982 (20) 1983 (18) 1984 (21) 1985 (23) 1986 (15) 1987 (25) 1988 (27) 1989 (35) 1990 (51) 1991 (43) 1992 (62) 1993 (66) 1994 (67) 1995 (116) 1996 (88) 1997 (118) 1998 (118) 1999 (157) 2000 (209) 2001 (210) 2002 (263) 2003 (302) 2004 (445) 2005 (547) 2006 (673) 2007 (751) 2008 (775) 2009 (521) 2010 (332) 2011 (322) 2012 (320) 2013 (346) 2014 (354) 2015 (347) 2016 (378) 2017 (561) 2018 (387) 2019 (530) 2020 (524) 2021 (706) 2022 (760) 2023 (871) 2024 (188)
Publication types (Num. hits)
article(5916) book(7) data(3) incollection(110) inproceedings(6820) phdthesis(40) proceedings(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4739 occurrences of 2719 keywords

Results
Found 12898 publication records. Showing 12897 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Ted G. Lewis, William H. Payne Generalized Feedback Shift Register Pseudorandom Number Algorithm. Search on Bibsonomy J. ACM The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
12Hamidreza Bayati, José del R. Millán, Ricardo Chavarriaga Unsupervised Adaptation to On-body Sensor Displacement in Acceleration-Based Activity Recognition. Search on Bibsonomy ISWC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Sensor displacement, Online unsupervised adaptation, Expectation-maximization, Activity recognition, Linear discriminant analysis, Covariate shift
12Grzegorz Borowik, Andrzej Paszkiewicz Hardware Accelerator for Generating Primitive Polynomials over GF(3). Search on Bibsonomy ICSEng The full citation details ... 2011 DBLP  DOI  BibTeX  RDF trinomial, stream cipher, linear feedback shift register, primitive polynomial, irreducible polynomial
12Ying Li, Xing Zhang, Bridget Benson, Ryan Kastner Hardware Implementation of Symbol Synchronization for Underwater FSK. Search on Bibsonomy SUTC/UMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF symbol syncrhonization, underwater communication, frequency shift keying
12Fabian Hemmert, Susann Hamann, Matthias Löwe, Josefine Zeipelt, Gesche Joost Weight-shifting mobiles: two-dimensional gravitational displays in mobile phones. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF weight-shift, navigation, mobile phone, haptic display
12Zhong Zhou, Ben Niu, Chen Ke, Wei Wu 0008 Static Object Tracking in Road Panoramic Videos. Search on Bibsonomy ISM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF panorama expansion, object tracking, Mean-Shift, panoramic video
12Jimmy Nagau, Jean-Luc Henry An Optimal Global Method for Classification of Color Pixels. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF change of scale, vectorial median, k-means, mean shift
12Faizal Karim, Marco Ottavi, Hamidreza Hashempour, Vamsi Vankamamidi, Konrad Walus, André Ivanov, Fabrizio Lombardi Modeling and Evaluating Errors Due to Random Clock Shifts in Quantum-Dot Cellular Automata Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Quantum-dot cellular automata (QCA), Clocked QCA, Emerging nanotechnologies, Phase shift
12Jeong Woo Son, Seong-Bae Park Learning word sense disambiguation in biomedical text with difference between training and test distributions. Search on Bibsonomy DTMBIO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF biotext classification, distribution change, machine learning, kernel method, covariate shift
12Mamiko Fukuoka, Shun'ichi Doi, Takahiko Kimura, Toshiaki Miura Measurement of Depth Attention of Driver in Frontal Scene. Search on Bibsonomy HCI (17) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF display color, shift of attention, allocation of attention, depth, driver, three-dimensional space
12Woo-Jin Seo, Seung Ho Ok, Jin-Ho Ahn, Sungho Kang, Byung In Moon An Efficient Hardware Architecture of the A-star Algorithm for the Shortest Path Search Engine. Search on Bibsonomy NCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF shortest-path search algorithm, A-star algorithm, sorting, priority queue, shift register
12Guang Zeng, Yang Yang, Wenbao Han, Shuqin Fan Word Oriented Cascade Jump sigma-LFSR. Search on Bibsonomy AAECC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cascade Jump LFSR, ??LFSR, Fast Software Encryption, Stream Cipher, Linear Feedback Shift Register(LFSR)
12Xiao Wu 0004, Chong-Wah Ngo, Jintao Li 0001, Yongdong Zhang 0001 Localizing volumetric motion for action recognition in realistic videos. Search on Bibsonomy ACM Multimedia The full citation details ... 2009 DBLP  DOI  BibTeX  RDF keypoint trajectory, mean-shift clustering, motion subspace learning, realistic videos, human action recognition
12Paul von Bünau, Frank C. Meinecke, Klaus-Robert Müller Stationary Subspace Analysis. Search on Bibsonomy ICA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Non-Stationarities, Dimensionality Reduction, Brain-Computer-Interface, BSS, BCI, Source Separation, Covariate Shift
12Aibin Chen, Zixing Cai, Deyi Dong An Image Tracking Algorithm Based on Object Centre Location and Image NMI Feature. Search on Bibsonomy FSKD (5) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Object Center, NMI feature, object tracking, Mean shift
12Márton Albert Hajnal Time Coding of Input Strength Is Intrinsic to Synapses with Short Term Plasticity. Search on Bibsonomy ICANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF frequency adaptation, time code, timing, time lag, neural code, time shift, synapse, Short term plasticity
12Li-Na Song, Guangrong Ji, Jing Chen Extraction of Shell Texture Feature of Coscinodiscus for Classification Based on Wavelet and PCA. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Principal component analysis (PCA), Wavelet transform, Image classification, Normalization, Shift invariance
12Myung-Hoon Yang, YongJoon Kim, Sunghoon Chun, Sungho Kang 0001 An Effective Power Reduction Methodology for Deterministic BIST Using Auxiliary LFSR. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Built-in self-test, Power consumption, Linear feedback shift register, Reseeding
12Joan T. McNamara Suspicious Activity Reporting (SAR). Search on Bibsonomy ISIPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Suspicious Activity Report (SAR), Institutionalization, Measurement, Standardization, Paradigm Shift
12Ying Liu 0001, Lucian Vlad Lita, Radu Stefan Niculescu, Kun Bai, Prasenjit Mitra, C. Lee Giles Real-time data pre-processing technique for efficient feature extraction in large scale datasets. Search on Bibsonomy CIKM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF block suffix shift, multiple-pattern matching, feature extraction, pre-processing
12Guang Zeng, Yang Yang, Wenbao Han, Shuqin Fan Reducible Polynomial over F2 Constructed by Trinomial sigma-LFSR. Search on Bibsonomy Inscrypt The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Stickelberger-Swan Theorem, ??LFSR, Finite Field, Linear Feedback Shift Register(LFSR), Irreducible Polynomial
12Supriya Rao, N. C. Pramod, Chaitanya Krishna Paturu People detection in image and video data. Search on Bibsonomy VNBA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaboost classification, implicit shape model, people detection, object detection, mean shift, pedestrian detection
12Raphaela Groten, Jens Hölldampf, Massimiliano Di Luca, Marc O. Ernst, Martin Buss Motion Primitives of Dancing. Search on Bibsonomy EuroHaptics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Position error, Jerk, Trajectory, Dancing, Rhythm, Frequency, Time shift
12Alodeep Sanyal, Sandip Kundu A Built-in Test and Characterization Method for Circuit Marginality Related Failures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit Marginality, Pseudorandom Pattern Generator (PRPG), Multiple Input Signature Register (MISR), Fmax testing based on frequency shmoo, Built-In Self-Test (BIST), Design-for-Testability (DFT), Linear Feedback Shift Register (LFSR)
12Naoki Koshikawa, Takahiro Murakami, Toshihisa Tanaka Pitch Shifting of Music Based on Adaptive Order Estimation of Linear Predictor. Search on Bibsonomy PCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF LPC, AM-GM inequality, fractional bandwidth, pitch shift
12ZhenQiu Zhang, Gerasimos Potamianos, Andrew W. Senior, Thomas S. Huang Joint face and head tracking inside multi-camera smart rooms. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive subspace tracking, Mean-shift tracking, Lecture data, Dynamic programming, Face detection, AdaBoost, Person tracking, Smart rooms, Multi-camera tracking
12Mathieu Cluzeau Reconstruction of a Linear Scrambler. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scrambler, reconstruction, linear feedback shift register, Communication system
12Preetam Ghosh, Kalyan Basu, Sajal K. Das 0001 A Novel Photonic Container Switched Architecture and Scheduler to Design the Core Transport Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Network topology design, nonpreemptive/preemptive scheduling, cyclic shift scheduling, optical burst switching
12Chung-chieh Shan A static simulation of dynamic delimited control. Search on Bibsonomy High. Order Symb. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Delimited control operators, Macro expressibility, Shift and reset, Control and prompt, Continuation-passing style (CPS)
12T. M. Mak The case for power with test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scan shifting, functional and scan shift speed, static and dynamic power, test, power
12Guang Gong Randomness and Representation of Span n Sequences. Search on Bibsonomy SSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Nonlinear feedback shift register sequences, span n sequences, de Bruijn sequences, randomness, discrete Fourier transform
12Nicholas Kolokotronis, Konstantinos Limniotis, Nicholas Kalouptsidis Improved Bounds on the Linear Complexity of Keystreams Obtained by Filter Generators. Search on Bibsonomy Inscrypt The full citation details ... 2007 DBLP  DOI  BibTeX  RDF filter functions, linearized polynomials, stream ciphers, linear feedback shift registers, linear complexity, Binary sequences
12Jinyong Fang, Jun Sun 0005 Ringing Artifact Reduction for JPEG2000 Images. Search on Bibsonomy ICIC (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ringing artifacts reduction, JPEG2000, non-linear filter, shift invariance
12Yanfang Han, Pengfei Shi An Efficient Approach for Fish Bone Detection Based on Image Preprocessing and Particle Swarm Clustering. Search on Bibsonomy ICIC (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fish bone detection, Computer vision, Image processing, Mean shift, PSO
12Jean-Philippe Aumasson, Matthieu Finiasz, Willi Meier, Serge Vaudenay TCHo: A Hardware-Oriented Trapdoor Cipher. Search on Bibsonomy ACISP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware, linear feedback shift register, public-key cryptosystem, polynomial multiples, post-quantum cryptography
12Gi-Hyoung Yoo, Beob Kyun Kim, Kang-Soo You Content-Based Image Retrieval Using Shifted Histogram. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shift Histogram, Content-Based Image Retrieval, Color Histogram
12Jonghyun Park, Jaemyeong Yoo, Gueesang Lee A Tensor Voting for Corrupted Region Inference and Text Image Segmentation. Search on Bibsonomy MMM (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scene Analysis, Mean-Shift, Text Segmentation, Color Space, Tensor voting
12Jianming Liu 0002, Dongming Lu Knowledge Based Lacunas Detection and Segmentation for Ancient Paintings. Search on Bibsonomy VSMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF concurrent detection and segmentation, deterioration murals, Image segmentation, graph cuts, mean shift, Bayesian classification
12François Panneton, Pierre L'Ecuyer, Makoto Matsumoto Improved long-period generators based on linear recurrences modulo 2. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GFSR linear recurrence modulo 2, linear feedback shift register, Random number generation, Mersenne twister
12Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer High Performance Dense Ring Generators. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ring generators, Built-in self-test, design for testability, linear feedback shift registers, phase shifters
12Bin Zhang 0003, Dengguo Feng Security analysis of a new stream cipher. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF divide-and-conquer attack, non-linear feedback shift registers (NLFSR), stream cipher, chaotic sequence
12Douglas A. Hanes, Gin McCollum Variables Contributing to the Coordination of Rapid Eye/Head Gaze Shifts. Search on Bibsonomy Biol. Cybern. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gaze shift, Midline, Head-free, Mathematical, Saccade
12Jochen Einbeck, Gerhard Tutz 0001, Ludger Evers Local principal curves. Search on Bibsonomy Stat. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF local smoothing, mean shift, principal components, principal curves
12Rafal Bialota, Grzegorz Kawa Modified Alternating vec{k}-generators. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF stream ciphers, shift-registers, keystream generators
12Yun-Tao Jia, Shi-Min Hu 0001, Ralph R. Martin Video completion using tracking and fragment merging. Search on Bibsonomy Vis. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Video completion, Tracking, Texture synthesis, Graph cut, Mean shift
12Zong Min Wu, Jian Ping Liu Generalized Strang-Fix condition for scattered data quasi-interpolation. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF quasi-interpolation, Strang-Fix condition, radial basis interpolation, scattered data approximation, shift-invariant space
12Z. W. Liao, S. X. Hu, W. F. Chen, Yuan Yan Tang, T. Z. Huang A Statistical Image Fusion Scheme for Multi Focus Applications. Search on Bibsonomy ICMLC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nuclear magnetic resonance (NMR), chemical shift, coupling constant, carbon-13, aromatic compounds
12Sanjay Burman, Palash Sarkar 0001 An Efficient Algorithm for Software Generation of Binary Linear Recurrences. Search on Bibsonomy Appl. Algebra Eng. Commun. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Linear Binary Recurrence, Linear feedback shift register (LFSR)
12Amit M. Sheth, Jacob Savir Scan Latch Design for Test Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF shift register latch, scan design, hardware overhead, LSSD
12C. V. Krishna, Abhijit Jas, Nur A. Touba Achieving high encoding efficiency with partial dynamic LFSR reseeding. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF linear finite shift register, compression, Built-in self-test, reseeding
12Hanzi Wang, David Suter Robust Adaptive-Scale Parametric Model Estimation for Computer Vision. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Robust model fitting, random sample consensus, residual consensus, adaptive least kth order squares, fundamental matrix estimation, mean shift, kernel density estimation, range image segmentation, least-median-of-squares
12Hanzi Wang, David Suter MDPE: A Very Robust Estimator for Model Fitting and Range Image Segmentation. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF breakdown point, residual consensus, adaptive least kth order squares, random sample consensus, Hough transform, robust estimation, mean shift, range image segmentation, model fitting, least median of squares
12Shai Dekel, Dany Leviatan On Measuring the Efficiency of Kernel Operators in Lp(Rd). Search on Bibsonomy Adv. Comput. Math. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF quasi-interpolation, kernel operators, approximation order, shift-invariant spaces
12Zena M. Ariola, Hugo Herbelin, Amr Sabry A type-theoretic foundation of continuations and prompts. Search on Bibsonomy ICFP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF callcc, prompt, subcontinuation, continuation, monad, subtraction, shift, reset
12Anthony Santella, Douglas DeCarlo Robust clustering of eye movement recordings for quantification of visual interest. Search on Bibsonomy ETRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF measures of visual interest, clustering, mean shift, eye movement analysis
12Mitrajit Chatterjee, Dhiraj K. Pradhan A BIST Pattern Generator Design for Near-Perfect Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF core logic, built-in self-test, synthesis, fault coverage, Linear feedback shift registers, test pattern generation, scan, SOC
12Mark Goresky, Andrew Klapper Efficient multiply-with-carry random number generators with maximal period. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiply-with-carry, p-adic number, random number generation, m-sequences, primitive element, k-distribution, feedback shift register, lattice structure, fcsr
12Hong Oh Kim, Rae Young Kim, Jae Kun Lim Quasi-Biorthogonal Frame Multiresolution Analyses and Wavelets. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF wavelets, frames, multiresolution analysis, shift-invariant spaces
12Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Nikolos A highly regular multi-phase reseeding technique for scan-based BIST. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based schemes, built-in self-test, linear feedback shift registers, reseeding
12Guo-Hui Lin, Dong Xu 0002, Zhi-Zhong Chen, Tao Jiang 0001, Jianjun Wen, Ying Xu 0001 An Efficient Branch-and-Bound Algorithm for the Assignment of Protein Backbone NMR Peaks. Search on Bibsonomy CSB The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chemical shift, peak assignment, combinatorial technique, branch-and-bound, greedy algorithm, protein structure, bipartite matching, NMR
12Tae-young Oh, Zhiping Yu, Robert W. Dutton AC Analysis of Thin Gate Oxide MOS with Quantum Mechanical Corrections. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF AC analysis, thin gate oxide, quantum mechanical correction, threshold voltage shift, transconductace, channel length reduction, density-gradient, quantum confinement, PROPHET, channel doping, transport theory, device parameter extraction, effective oxide thickness, capacitance
12Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos An Efficient Seeds Selection Method for LFSR-Based Test-per-Clock BIST. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Test-per-Clock Schemes, Reseeding Techniques, Built-In Self-Test, Linear Feedback Shift Registers, Test Pattern Generation
12Tanfeng Sun, Lili Cui, Shuxun Wang Research on Technology of Chaos Secrecy Communications in Digital Watermarking. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Keyword: Chaos Secrecy Communication, Difference Chaos Shift Key, Wavelet Transformation, Watermarking
12Rajagopalan Srinivasan, Sandeep K. Gupta 0001, Melvin A. Breuer Novel Test Pattern Generators for Pseudoexhaustive Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF linear feedback shift registers, Test pattern generators, pseudoexhaustive testing
12Lijian Li, Yinghua Min An efficient BIST design using LFSR-ROM architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF read-only storage, BIST design, LFSR-ROM architecture, built-in self test, logic design, automatic test pattern generation, test pattern generation, integrated circuit design, shift registers, hardware overhead, ROM, integrated circuit economics
12Yin-He Su, Ching-Hwa Cheng, Shih-Chieh Chang Novel techniques for improving testability analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF closed-form formulation, implication reasoning, TAIR, tree-structured circuit, logic testing, logic testing, controllability, controllability, built-in self test, automatic test pattern generation, BIST, observability, observability, stuck-at fault, shift registers, testability analysis, test patterns
12Ming-Der Shieh, Hsin-Fu Lo, Ming-Hwa Sheu High-speed generation of LFSR signatures. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-speed generation, LFSR signatures, compaction simulation, single-input signature register, equivalent multiple-input implementation, finite field theory, high-speed signature computations, lookahead technique, internal-XOR LFSR, external-XOR LFSR, performance evaluation, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, BIST, linear feedback shift register, binary sequences, subsequences
12Joachim Althaler, Arne Dür A Generalization of the Massey-Ding Algorithm. Search on Bibsonomy Appl. Algebra Eng. Commun. Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Linear recurrence relation, Shift register synthesis problem, Annihilator ideal, Minimal Gröbner basis
12Mahmoud S. Elsaholy, Samir I. Shaheen, Reda H. Seireg A Unified Analytical Expression for Aliasing Error Probability Using Single-Input. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLSI, linear feedback shift register, aliasing, Signature analysis, digital testing, transient behavior
12Gabriele Steidl A note on fast Fourier transforms for nonequispaced grids. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF nonequispaced grids, Gaussian bells, 65T10, 42A16, fast Fourier transform, B-splines, 41A15, 41A30, shift-invariant spaces
12Nirmal R. Saxena, Edward J. McCluskey Parallel Signatur Analysis Design with Bounds on Aliasing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF aliasing probability bounds, parallel signature designs, multiple input signature registers (MISR), linear feedback shift registers, random testing, Signature analysis
12Fred S. Annexstein Generating De Bruijn Sequences: An Efficient Implementation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Shift register sequences, De Bruijn graphs, NESL programming language, computational complexity, recursive algorithms
12João Borges de Sousa, Aleks Göllü A Simulation Environment for the Coordinated Operation of Multiple Autonomous Underwater Vehicles. Search on Bibsonomy WSC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SHIFT language, general applications, hybrid systems
12Nur A. Touba Obtaining High Fault Coverage with Circular BIST Via State Skipping. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Circular BIST, Circular Self-Test Path, Conflict Matrix, Column Covering, Built-In Self-Test (BIST), Linear Feedback Shift Register, Pseudo-Random Testing, Digital Testing
12Chung-Len Lee 0001, Meng-Lieh Sheu A Multiple-Sequence Generator Based on Inverted Nonlinear Autonomous Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Multiple-sequence generator, deterministic ordered sequence generation, random pattern generation, autonomous machine, linear feedback shift register, sequential circuit testing
12Jovan Dj. Golic Linear Models for Keystream Generators. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Clock-controlled shift registers, cryptography, correlation coefficients, linear models, keystream generators
12Janusz Rajski, Jerzy Tyszer On Linear Dependencies in Subspaces of LFSR-Generated Sequences. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF linear dependencies, Built-in self-test, linear feedback shift registers, scan designs, primitive polynomials
12Chih-Ang Chen, Sandeep K. Gupta 0001 BIST Test Pattern Generators for Two-Pattern Testing-Theory and Design Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Built-in self-test, cellular automata, linear feedback shift register, test pattern generator, two-pattern testing, pseudo-exhaustive testing
12Mody Lempel, Sandeep K. Gupta Zero Aliasing for Modeled Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF zero-aliasing, Built-in self-test, linear feedback shift registers, signature analysis, response compaction
12Kevin Cattell, Shujian Zhang Minimal cost one-dimensional linear hybrid cellular automata of degree through 500. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF linear hybrid cellular automata, maximal length cycle, linear feedback shift register, primitive polynomial
12Benjamin Belzer, John D. Villasenor, Bernd Girod Joint source channel coding of images with trellis coded quantization and convolutional codes. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Gaussian channels, phase shift keying, low complexity codec, high robustness, Ungerboeck trellis code, Gaussian sources, additive white Gaussian noise channel, BPSK modulation, image subband coefficients, transmission bandwidth, optimal bandwidth allocation, image coding, image coding, source coding, convolutional codes, convolutional codes, vector quantisation, codecs, joint source channel coding, channel coding, AWGN, white noise, trellis codes, trellis coded quantization
12Seiken Yano Unified scan design with scannable memory arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF unified scan design, scannable memory arrays, single scan path, scan operation time, scannable register file, fault diagnosis, design for testability, design-for-testability, automatic testing, logic CAD, flip-flops, flip-flops, arrays, shift registers, integrated memory circuits
12Manoj Franklin Fast computation of C-MISR signatures. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C-MISR signatures, built-in self-test applications, good circuit signature, faulty circuit signatures, cellular automata-based multi-input signature registers, equivalent single input circuit, VLSI, logic testing, built-in self test, cellular automata, integrated circuit testing, sequential circuits, shift registers, test responses, signature analyzers, equivalent circuits
12Sukumar Nandi, Parimal Pal Chaudhuri Theory and applications of cellular automata for synthesis of easily testable combinational logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable combinational logic, combinational logic blocks, test machine, data path synthesis phase, autonomous mode, aliasing error probability, associated lines, test application overheads, test parallelism, simultaneous testing, multiple combinational modules, graph theory, fault diagnosis, logic testing, high level synthesis, test generation, cellular automata, cellular automata, design for testability, combinational circuits, logic CAD, stuck-at faults, shift registers, cost effectiveness, registers, test vectors, test responses, state transition graph
12C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
12Mitrajit Chatterjee, Dhiraj K. Pradhan A novel pattern generator for near-perfect fault-coverage. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault
12Jacob Savir On shrinking wide compressors. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF wiring overhead, detection probability loss, test length penalty, fault coverage degradation, fault diagnosis, logic testing, built-in self test, built-in self-test, integrated circuit testing, shift registers, pseudo-random test, MISRs, parity, multiple-input signature registers
12Albrecht P. Stroele Signature analysis and aliasing for sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF built-in self-test techniques, test registers, subcircuits, irreducible characteristic polynomial, limiting value, fault diagnosis, logic testing, built-in self test, integrated circuit testing, sequential circuits, sequential circuits, aliasing, signature analysis, shift registers, test lengths
12Manoj Franklin, Kewal K. Saluja, Kyuchull Kim Fast computation of MISR signatures. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MISR signatures, fast computation, test response compression, multi-input signature registers, equivalent single input circuit, logic testing, design for testability, logic design, table lookup, table lookups, shift registers, binary sequences, speedup technique, signature analyzers
12Slawomir Pilarski, André Ivanov, Tiko Kameda On minimizing aliasing in scan-based compaction. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF built-in self-test, linear feedback shift register, signature analysis, Aliasing probability, scan path, test response compaction
12Thomas R. Cain, Alan T. Sherman How to Break Gifford's Cipher (extended abstract). Search on Bibsonomy CCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Boston Community Information System, Gifford's cipher, algorithms over finite fields, linear algebra over GF(2), primary rational canonical form, similar matrices, cryptography, cryptanalysis, stream ciphers, linear feedback shift registers, correlation attack, matrix decompositions, cryptology, similarity transformations, filter generators
12Benjamin Arazi Architectures for Exponentiation Over GF(2^n) Adopted for Smartcard Application. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exponentiation circuits, smartcard-based circuitry, structure regularity, dynamic shift registers, cryptography, smart cards, digital arithmetic, public key, time-space tradeoff, linear operation
12M. Anwarul Hasan, Muzhong Wang, Vijay K. Bhargava A Modified Massey-Omura Parallel Multiplier for a Class of Finite Fields. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Massey-Omura parallel multiplier, cyclically shifted versions, input cyclic shift, lower circuit complexity, redundancy, finite fields, digital arithmetic, polynomials, polynomials, multiplying circuits
12Tiko Kameda, Slawomir Pilarski, André Ivanov Notes on Multiple Input Signature Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF multiple input signature analysis, multiple-input compactors, error assumptions, binary memory elements, standard linear algebra notions, logic testing, probability, built-in self test, feedback, aliasing, shift registers, VLSI circuits, error model, irreducible polynomial
12Daniel M. Russell, Mark Stefik, Peter Pirolli, Stuart K. Card The cost structure of sensemaking. Search on Bibsonomy INTERCHI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cost structure, learning loop, representation search, representation shift, sensemaking, information access
12P. Caspi, J. Piotrowski, Raoul Velazco An A Priori Approach to the Evaluation of Signature Analysis Efficiency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF a priori randomization, logic testing, LFSRs, linear feedback shift registers, signature analysis, irreducible polynomials
12David R. Smith, Jing C. Lin The Tree-Match Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF subject stream scan, multiple chip cascading, tree-match chip, chip organization, one-bit-wide stacks, functional language processing, 40-pin standard frame, satellite computers, parallel algorithms, VLSI, circuit layout CAD, CMOS integrated circuits, CMOS technology, shift registers, coprocessor, content-addressed memory, tree-pattern-matching
12Peter D. Hortensius, Robert D. McLeod, Howard C. Card Cellular Automata-Based Signature analysis for Built-in Self-Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF signature analysis properties, one-dimensional cellular automata, cyclic-group rules, CALBO, cellular automata-based logic block observation, BILBO, built-in block observation, logic testing, built-in self-test, built-in self test, LFSR, linear feedback shift register, finite automata, test pattern generation
12Russell Impagliazzo, David Zuckerman How to Recycle Random Bits Search on Bibsonomy FOCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF random bits, shift register generator, BPP algorithm, information-theoretic lower bound, probabilistic algorithm, pseudorandom generator, linear congruential generator, linear congruential generators
12C. L. Chen Exhaustive Test Pattern Generation Using Cyclic Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF exhaustive test pattern generation, punctured cyclic codes, VLSI, logic testing, built-in self testing, automatic testing, codes, linear feedback shift registers, VLSI circuits
Displaying result #801 - #900 of 12897 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license