The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Guoyong Shi, C.-J. Richard Shi Parametric reduced order modeling for interconnect analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jason Helge Anderson, Farid N. Najm Interconnect capacitance estimation for FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17James D. Ma, Rob A. Rutenbar Interval-valued reduced order statistical interconnect modeling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Renqiu Huang, Ranga Vemuri Analysis and evaluation of a hybrid interconnect structure for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Joel R. Phillips Variational interconnect analysis via PMTBR. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Janet Meiling Wang, Praveen Ghanta, Sarma B. K. Vrudhula Stochastic analysis of interconnect performance in the presence of process variations. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Seongkyun Shin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Valeriy Sukharev Physically-Based Simulation of Electromigration Induced Failures in Copper Dual-Damascene Interconnect. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Yves Quéré, Thierry LeGouguec, Pierre-Marie Martin, Fabrice Huret Interconnect Mode Conversion in High-Speed VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mehdi Baradaran Tahoori, Subhasish Mitra Interconnect Delay Testing of Designs on Programmable Logic Devices. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Dave Mark, Jenny Fan Localizing Open Interconnect Defects using Targeted Routing in FPGA's. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Roberto Ammendola, M. Guagnelli, G. Mazza, Filippo Palombi, Roberto Petronzio, Davide Rossetti, Andrea Salamon, Piero Vicini APENet: a high speed, low latency 3D interconnect network. Search on Bibsonomy CLUSTER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Geert Van der Plas, Mustafa Badaroglu, Gerd Vandersteen, Petr Dobrovolný, Piet Wambacq, Stéphane Donnay, Georges G. E. Gielen, Hugo De Man High-level simulation of substrate noise in high-ohmic substrates with interconnect and supply effects. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, model order reduction, substrate noise
17Dmitri B. Chklovskii Evolution as the blind engineer: wiring minimization in the brain. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Arvind Kumar, Sandip Tiwari Defect tolerance for nanocomputer architecture. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wire length estimation, FPGA, reliability, reconfigurability, defect tolerance, nanoelectronics, Rent's rule, nanocomputing
17Phillip Christie, José Pineda de Gyvez Prelayout interconnect yield prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Qinwei Xu, Pinaki Mazumder Equivalent-circuit interconnect modeling based on the fifth-order differential quadrature methods. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Raymond A. Wildman, Joshua I. Kramer, Daniel S. Weile, Phillip Christie Multi-objective optimization of interconnect geometry. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Ashok K. Murugavel, N. Ranganathan Petri net modeling of gate and interconnect delays for power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Guoan Zhong, Cheng-Kok Koh, Kaushik Roy 0001 On-chip interconnect modeling by wire duplication. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Erik Jan Marinissen, Bart Vermeulen, Henk D. L. Hollmann, Ben Bennetts Minimizing Pattern Count for Interconnect Test under a Ground Bounce Constraint. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Pierre Wodey, Geoffrey Camarroque, Fabrice Baray, Richard Hersemeule, Jean-Philippe Cousin LOTOS Code Generation for Model Checking of STBus Based SoC: the STBus interconnect. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jérôme Lescot, François J. R. Clément Interconnect Parasitic Extraction Tool for Radio-Frequency Integrated Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Mario R. Casu, Mariagrazia Graziano, Gianluca Piccinini, Guido Masera, Maurizio Zamboni Effects of Temperature in Deep-Submicron Global Interconnect Optimization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Srividya Srinivasaraghavan, Wayne P. Burleson Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Frédéric Pétrot, Pascal Gomez Lightweight Implementation of the POSIX Threads API for an On-Chip MIPS Multiprocessor with VCI Interconnect. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Terry Tao Ye, Luca Benini, Giovanni De Micheli Packetized On-Chip Interconnect Communication Analysis for MPSoC. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17W. J. Bainbridge, William B. Toms, David A. Edwards, Stephen B. Furber Delay-Insensitive, Point-to-Point Interconnect Using M-of-N Codes. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17L. Kalyan Kumar, Amol J. Mupid, Aditya S. Ramani, V. Kamakoti 0001 A Novel Method for Online In-Place Detection and Location of Multiple Interconnect Faults in SRAM Based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Chris C. N. Chu, Evangeline F. Y. Young, Dennis K. Y. Tong, Sampath Dechu Retiming with Interconnect and Gate Delay. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Magdy A. El-Moursy, Eby G. Friedman Inductive interconnect width optimization for low power. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Timo Palenius, Janne Roos An efficient reduced-order interconnect macromodel for time-domain simulation. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Alyssa B. Apsel, Andreas G. Andreou A 10 milliwatt 2 Gbps CMOS optical receiver for optoelectronic interconnect. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dirk K. de Vries, Paul L. C. Simon Calibration of Open Interconnect Yield Models. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Won-Seok Lee, Keun-Ho Lee, Jin-Kyu Park, Tae-Kyung Kim, Young-Kwan Park, Jeong-Taek Kong Investigation of the capacitance deviation due to metal-fills and the effective interconnect geometry modeling. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Mehdi Baradaran Tahoori, Subhasish Mitra Automatic Configuration Generation for FPGA Interconnect Testing. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dereck A. Fernandes, Ian G. Harris Application of Built in Self-Test for Interconnect Testing of FPGAs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Erik Chmelar FPGA Interconnect Delay Fault Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Lee Whetsel Adapting JTAG for AC Interconnect Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jason Helge Anderson, Farid N. Najm Switching activity analysis and pre-layout activity prediction for FPGAs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, power, estimation
17Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Analyzing and Diagnosing Interconnect Faults in Bus-Structured Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17David Goren, Michael Zelikson, Tiberiu C. Galambos, Rachel Gordin, Betty Livshitz, Alon Amir, Anatoly Sherman, Israel A. Wagner An Interconnect-Aware Methodology for Analog and Mixed Signal Design, Based on High Bandwidth (Over 40 Ghz) On-Chip Transmission Line Approach . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ruibing Lu, Guoan Zhong, Cheng-Kok Koh, Kai-Yuan Chao Flip-Flop and Repeater Insertion for Early Interconnect Planning. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Guoan Zhong, Cheng-Kok Koh, Kaushik Roy 0001 On-chip interconnect modeling by wire duplication. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Koichi Nose, Takayasu Sakurai Power-conscious interconnect buffer optimization with improved modeling of driver MOSFET and Its implications to bulk and SOI CMOS technology. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Louis Scheffer Methodologies and Tools for Pipelined On-Chip Interconnect. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jiangmin Gu, Chip-Hong Chang, Kiat Seng Yeo An interconnect optimized floorplanning of a scalar product macrocell. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Pasi Liljeberg, Imed Ben Dhaou, Juha Plosila, Jouni Isoaho, Hannu Tenhunen Interconnect peak current reduction for wavelet array processor using self-timed signaling. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Cliff C. N. Sze, Ting-Chi Wang Optimal circuit clustering with variable interconnect delay. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Optimising bandwidth over deep sub-micron interconnect. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Steve Sistare, Christopher J. Jackson Ultra-high performance communication with MPI and the Sun fireTM link interconnect. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF kernel bypass, remote shared memory, performance evaluation, MPI, interconnects, SAN
17Michel Renovell, Penelope Faure, Paolo Prinetto, Yervant Zorian Testing the Unidimensional Interconnect Architecture of Symmetrical SRAM-Based FPGA. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, Test
17Chi-Ming Tsai, Kun-Tien Kuo, Chyi-Hui Hong, Rung-Bin Lin An Adaptive Interconnect-Length Driven Placer. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Andrey V. Mezhiba, Eby G. Friedman Scaling trends of on-chip Power distribution noise. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF technology scaling, power supply noise, power distribution
17Peter Meuris, Wim Schoenmaker, Wim Magnus Strategy for electromagnetic interconnect modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Jason Cong, Cheng-Kok Koh, Patrick H. Madden Interconnect layout optimization under higher order RLC model forMCM designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Amit Singh 0001, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska Interconnect pipelining in a throughput-intensive FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Martin R. Frerichs Precise extraction of ultra deep submicron interconnect parasitics with parameterizable 3D-modeling: invited talk. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Dian Zhou, Wei Li, Wei Cai, Nailong Guo An efficient balanced truncation realization algorithm for interconnect model order reduction. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17John Marty Emmert, Jason A. Cheatham On-Line Incremental Routing for Interconnect Fault Tolerance in FPGAs Minus the Router . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Emrah Acar, Lawrence T. Pileggi, Sani R. Nassif, Ying Liu Assessment of True Worst Case Circuit Performance Under Interconnect Parameter Variations. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ralph H. J. M. Otten, Giuseppe S. Garcea Are wires plannable? Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17José Pineda de Gyvez Yield modeling and BEOL fundamentals. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Danqing Chen, Erhong Li, Elyse Rosenbaum, Sung-Mo Kang Interconnect thermal modeling for accurate simulation of circuittiming and reliability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Testing the Local Interconnect Resources of SRAM-Based FPGA's. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, VLSI, test, ATPG
17Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Diagnosing the Interconnect of Bus-Connected Multi-RAM Systems under Restricted and General Fault Models. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Hung-Jung Chen, Bradley S. Carlson Power estimation for a submicron CMOS inverter driving a CRC interconnect load. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17H. Levy, W. Scott, Don MacMillen, Jacob White 0001 A rank-one update method for efficient processing of interconnect parasitics in timing analysis. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Janet Meiling Wang, Qingjian Yu, Ernest S. Kuh Coupled Noise Estimation for Distributed RC Interconnect Model. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17A. Toulouse, David Bernard, Christian Landrault, Pascal Nouet Efficient 3D Modelling for Extraction of Interconnect Capacitances in Deep Submicron Dense Layouts. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jinsong Hou, Zeyi Wang, Xianlong Hong The Hierarchical h-Adaptive 3-D Boundary Element Computation of VLSI Interconnect Capacitance. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Parasitic Capacitance, Hierarchical h-Adaptive Computation, VLSI, Boundary Element Method
17Chun-Keung Lo, Philip C. H. Chan An Efficient Structural Approach to Board Interconnect Diagnosis. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Wenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi A BIST TPG Approach for Interconnect Testing With the IEEE 1149.1 STD. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Yinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi Minimizing the Number of Programming Steps for Diagnosis of Interconnect Faults in FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, Fault Diagnosis, Fault Detection
17Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Interconnect Diagnosis of Bus-Connected Multi-RAM Systems. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Ron Ho, Ken Mai, Hema Kapadia, Mark Horowitz Interconnect scaling implications for CAD. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Hyunjin Kim, Jongchul Shin, Sungho Kang 0001 An Efficient Interconnect Test Using BIST Module in a Boundary-Scan Environment. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Yuichi Tanji, Mamoru Tanaka A new order-reduction method of interconnect networks characterized by sampled data via orthogonal least square algorithm. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Rex Lowther Compact modeling of interconnect and substrate coupling at GHz frequencies. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Zhong-Fang Jin, Jean-Jacques Laurin, Yvon Savaria, Pierre Garon A new approach to analyze interconnect delays in RC wire models. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi PRIMA: passive reduced-order interconnect macromodeling algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Testing the Interconnect of RAM-Based FPGAs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Michael Gasteier, Manfred Glesner, Michael Münch Generation of Interconnect Topologies for Communication Synthesis. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF bus generation, channel merging, Communications synthesis
17Huibo Hou, Sachin S. Sapatnekar Routing tree topology construction to meet interconnect timing constraints. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Hui Zhang 0008, Jan M. Rabaey Low-swing interconnect interface circuits. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Tolga Soyata, Eby G. Friedman, James H. Mulligan Jr. Incorporating interconnect, register, and clock distribution delays into the retiming process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17José T. de Sousa, Peter Y. K. Cheung Improved diagnosis of realistic interconnect shorts. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Jason Cong, David Zhigang Pan, Lei He 0001, Cheng-Kok Koh, Kei-Yong Khoo Interconnect design for deep submicron ICs. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  BibTeX  RDF required-arrival-time Steiner tree higher-order moment signal delay and integrity
17Haluk Konuk Fault simulation of interconnect opens in digital CMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault simulation, opens, breaks
17Kangwoo Lee, Woo-Jong Han, Michel Dubois 0001 Bottleneck-Free Interconnect and IO Subsystem in SPAX. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Narain D. Arora, Kartik V. Raol, Reinhard Schumann, Llanda M. Richardson Modeling and extraction of interconnect capacitances for multilayer VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Yogindra Abhyankar, Anil Degwekar, Abhay Karandikar Centre for Development of Advanced Computing: DS-Link over Fiber: A High-Speed Interconnect for Cluster Computing. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Hans T. Heineken, Wojciech Maly Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Standard Cell Designs, Synthesis, Interconnects, Manufacturability, Yield
17Ludmila Cherkasova, Vadim E. Kotov, Tomas Rokicki The Impact of Message Scheduling on a Packet Switching Interconnect Fabric. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli Automatic generation of analytical models for interconnect capacitances. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Chingwei Yeh, Lung-Tien Liu, Chung-Kuan Cheng, T. C. Hu, S. Ahmed, M. Liddel Block-oriented programmable design with switching network interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Seok-Yoon Kim, Nanda Gopal, Lawrence T. Pillage Time-domain macromodels for VLSI interconnect analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Tolga Soyata, Eby G. Friedman Retiming with non-zero clock skew, variable register, and interconnect delay. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17C. Bachelu, Martin Lefebvre 0001 A study of the use of local interconnect in CMOS leaf cell design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license