The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
59Bernard J. Carey, George F. MacLachlan Automated design based upon Microprogrammable Bit Slice Microprocessors. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
55Masatoshi Shima 0001 The Birth, Evolution and Future of the Microprocessor. Search on Bibsonomy CIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Anthony C. J. Fox, Neal A. Harman Algebraic Models of Correctness for Microprocessors. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Formal Verification, Microprocessors, Algebraic Models
49Corinna G. Lee, Derek J. DeVries Initial Results on the Performance and Cost of Vector Microprocessors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
47Uwe Brinkschulte, Mathias Pacher A Control Theory Approach to Improve the Real-Time Capability of Multi-Threaded Microprocessors. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Control theory in high-end microprocessors, real-time microprocessors, IPC rate
45Yedidya Hilewitz, Ruby B. Lee Fast Bit Gather, Bit Scatter and Bit Permutation Instructions for Commodity Microprocessors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bit scatter, Bit gather, Parallel deposit, Unpack, Algorithm acceleration, Bioinformatics, Compression, Pattern matching, Steganography, Microprocessors, Permutations, Pack, Instruction set architecture, Cryptology, ISA, Parallel extract, Bit manipulations
44William M. van Cleemput, John C. Foster, Donald C. S. Allison (eds.) Proceedings of the Symposium on Design Automation and Microprocessors, Palo Alto, California, February 24-25, 1977. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Robert I. Gardner State of the implementation of SARA. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44John Grason Design aids and hardware testing of microprocessor system circuit packs. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44W. Werner, W. Minnick User requirements for digital design verification simulators. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44William T. Overman, Gerald Estrin Developing a SARA building block - the 8080. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Will Sherwood Simulation hierarchy for microprocessor design. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Charles W. Rose N.mPc: An adaptable software system to support the development of microprocessor-based systems. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Lawrence A. O'Neill Computer aids for the design of manufacturable microcomputer-based systems. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Todd J. Wagner Verification of hardware designs thru symbolic manipulation. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44B. A. Prasad Modelling techniques for dynamic logic and test pattern generation of a microprocessor. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Robert I. Gardner Multi-level modeling in SARA. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44John Teets, Charles W. Rose, Edward J. McCluskey Panel Discussions. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Will Sherwood PLATO - PLA Translator/Optimizer - "a ROM is a PLA in no uncertain terms.". Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Rami R. Razouk, Gerald Estrin The graph model of behavior simulator. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44R. J. Smith II, M. N. Matelan Practical considerations in implementing a real-time controller design automation system. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Ivan M. Campos, Gerald Estrin Specialization of SARA for software synthesis. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Gerald Estrin Modeling for synthesis - the gap between intent and behavior. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Will Sherwood Some applications of the Stanford University Drawing System for LSI microprocessor - "a picture is worth a thousand bytes.". Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Hoo-Min D. Toong Automatic design of multiprocessor microprocessor systems. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Paul J. Drongowski Capability requirements in a multimicro processor, hardware/software simulation environment. Search on Bibsonomy Design Automation and Microprocessors The full citation details ... 1977 DBLP  BibTeX  RDF
44Neal A. Harman Algebraic Models of Simultaneous Multithreaded and Multi-core Processors. Search on Bibsonomy CALCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF many-sorted algebra, verification, microprocessors, correctness, threaded
42Antonio González 0001 Key Microarchitectural Innovations for Future Microprocessors. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Todd J. Foster, Dennis L. Lastor, Padmaraj Singh First Silicon Functional Validation and Debug of Multicore Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Jianfeng An, Xiaoya Fan, Shengbing Zhang, Danghui Wang, Yi Wang 0016 VMSIM: Virtual Machine Based a Full System Simulation Platform for Microprocessors' Functional Verification. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Norman P. Jouppi The Future Evolution of High-Performance Microprocessors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Miroslav N. Velev Automatic Abstraction of Memories in the Formal Verification of Superscalar Microprocessors. Search on Bibsonomy TACAS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Rajesh Kannah, C. P. Ravikumar Functional Testing of Microprocessors with Graded Fault Coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42S. Srivastava, S. C. Bose, B. P. Mathur, Arti Noor, Raj Singh, A. S. Mandal, K. Prabhakaran, Arindam Karmakar, Chandra Shekhar 0001, Sudhir Kumar, Amit K. Agarwal Evolution of Architectural Concepts and Design Methods of Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Microprocessor Evolution, Synthesis, VHDL, Microprocessor Design
41Philip Heidelberger, M. Seetha Lakshmi A Performance Comparison of Multi-Micro and Mainframe Database Architectures. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
40Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
38Yiu-Hing Chan, Prabhakar Kudva, Lisa B. Lacey, Gregory A. Northrop, Thomas E. Rosser Physical synthesis methodology for high performance microprocessors. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF synthesis, microprocessors, high-performance
38John D. Bunda, Donald S. Fussell, William C. Athas Energy-efficient instruction set architecture for CMOS microprocessors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF energy-efficient instruction set architecture, CMOS microprocessors, portable battery-based applications, performance-driven designs, processor architecture tradeoffs, program encoding size, instruction set richness, energy cost, speculative instruction fetching, execution resources, instruction-level parallel machines, multiple-path instruction fetching, high execution bandwidth, power management, microprocessor chips, instruction sets, power dissipation, CMOS digital integrated circuits, reduced instruction set computing, cooling, design constraint, instruction delivery, code density
35DiaaEldin Khalil, Yehea I. Ismail A global interconnect link design for many-core microprocessors. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, link, bus, repeater insertion
35Jason D. Lee, Praveen Bhojwani, Rabi N. Mahapatra A Safety Analysis Framework for COTS Microprocessors in Safety-Critical Applications. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Norman P. Jouppi The Future Evolution of High-Performance Microprocessors. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Jianfeng An, Xiaoya Fan, Shengbing Zhang, Danghui Wang An Efficient Verification Method for Microprocessors Based on the Virtual Machine. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Carlo Brandolese, Fabio Salice, William Fornaciari, Donatella Sciuto Static power modeling of 32-bit microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Yi-Ping You, Chingren Lee, Jenq Kuen Lee Compiler Analysis and Supports for Leakage Power Reduction on Microprocessors. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Al Crouch, Jeff Freeman Designing and Verifying Embedded Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
35Chen-Shang Lin, Hong-Fa Ho Automatic Functional Test Program Generation for Microprocessors. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
31Skyler Schneider, Daniel Y. Deng, Daniel Lo, Greg Malysa, G. Edward Suh Implementing dynamic information flow tracking on microprocessors with integrated FPGA fabric (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic inspection, reconfigurable microprocessors, fpga
31Adam Waksman, Simha Sethumadhavan Tamper Evident Microprocessors. Search on Bibsonomy IEEE Symposium on Security and Privacy The full citation details ... 2010 DBLP  DOI  BibTeX  RDF microprocessors, hardware security, backdoors
31Nikolaos Kavvadias, Spiridon Nikolaidis 0001 Elimination of Overhead Operations in Complex Loop Structures for Embedded Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optimization, Microprocessors, Hardware description languages, Real-time and embedded systems, Pipeline processors, Control design
31Giovanni Squillero Ea-based test and verification of microprocessors. Search on Bibsonomy GECCO (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF post-sysnthesis verification, pre-sysnthesis verification, test, evolutionary algorithm, microprocessors
31David Van Campenhout, Trevor N. Mudge, John P. Hayes High-Level Test Generation for Design Verification of Pipelined Microprocessors. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF high-level test generation, pipelined microprocessors, sequential test generation, design verification
31Li Shen, Stephen Y. H. Su A Functional Testing Method for Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF functional testing method, control fault model, register transfer language, k-out-of-m codes, test generation time, microprocessors, microprocessor chips, computer testing, testing requirements
31Thirumalai Sridhar, John P. Hayes A Functional Approach to Testing Bit-Sliced Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Bit-sliced processors, test generation, fault modeling, microprocessors, testability, iterative logic arrays
31Victor S. Foster MIDAS: A MID-level language for microprocessors. Search on Bibsonomy ACM Annual Conference (2) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Microprocessor languages, Mid-level languages, Languages, Microprocessors, MIDAS
30Csaba Andras Moritz, Donald Yeung, Anant Agarwal SimpleFit: A Framework for Analyzing Design Trade-Offs in Raw Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modeling, architecture, Multiprocessors, microprocessors
30Marco Ferretti Multi-Media Extensions in Super-Pipelined Micro-Architectures. A New Case for SIMD Processing? Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF super-pipelined microarchitectures, general purpose microprocessors, Von-Neumann paradigm, image processing, parallel architectures, associated memory, instruction set architectures, massively parallel processors, multimedia extensions, SIMD processing
29Laurent Fournier, Yaron Arbetman, Moshe Levinger Functional Verification Methodology for Microprocessors Using the Genesys Test-Program Generator-Application to the x86 Microprocessors Family. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Rui Gong, Kui Dai, Zhiying Wang 0003 A Framework to Evaluate the Trade-off among AVF Performance and Area of Soft Error Tolerant Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Kamran Zarrineh Design for Test Challenges of High Performance/Low Power Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Dimitris Gizopoulos, Robert C. Aitken, Sandip Kundu Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Srinivas Vadlamani, Stephen F. Jenks Architectural Considerations for Efficient Software Execution on Parallel Microprocessors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Dietmar Fey, Marcus Komann, Frank Schurz, Andreas Loos An Organic Computing architecture for visual microprocessors based on Marching Pixels. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Wen-mei W. Hwu, Shane Ryoo, Sain-Zee Ueng, John H. Kelm, Isaac Gelado, Sam S. Stone, Robert E. Kidd, Sara S. Baghsorkhi, Aqeel Mahesri, Stephanie C. Tsao, Nacho Navarro, Steven S. Lumetta, Matthew I. Frank, Sanjay J. Patel Implicitly Parallel Programming Models for Thousand-Core Microprocessors. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Jason A. Blome, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke Cost-efficient soft error protection for embedded microprocessors. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reliability, embedded processors, soft errors
28Jayanta Bhadra, Magdy S. Abadir, David Burgess, Ekaterina Trofimova Automatic Generation of High Performance Embedded Memory Models for PowerPC Microprocessors. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Andre L. R. Pouponnot Strategic Use of SEE Mitigation Techniques for the Development of the ESA Microprocessors: Past, Present and Future. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Eyal Bin, Laurent Fournier Micro-Architecture Verification for Microprocessors. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Sumeet Kumar, Prateek Pujara, Aneesh Aggarwal Bit-Sliced Datapath for Energy-Efficient High Performance Microprocessors. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Lieven Eeckhout Efficient architectural design of high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Nobuyuki Ohba, Kohji Takano An SoC design methodology using FPGAs and embedded microprocessors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mixed-level verification, SoC, ASIC, FPGA prototyping
28Shuvendu K. Lahiri, Randal E. Bryant Deductive Verification of Advanced Out-of-Order Microprocessors. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Chris R. Jesshope Multi-threaded Microprocessors - Evolution or Revolution. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Shuvendu K. Lahiri, Sanjit A. Seshia, Randal E. Bryant Modeling and Verification of Out-of-Order Microprocessors in UCLID. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Toshinori Sato, Itsujiro Arita Evaluating Low-Cost Fault-Tolerance Mechanism for Microprocessors on Multimedia Applications. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Wen Ching Wu, Chung-Len Lee 0001, Ming Shae Wu, Jwu E. Chen, Magdy S. Abadir Oscillation Ring Delay Test for High Performance Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF oscillation ring testing, sensitized path, robust path dealy fault, hazard-free path delay fault, multiple reconvergent fanout, flunk lines, stuck at fault, delay fault testing, gate delay fault
28Yutao He, Algirdas Avizienis Assessment of the Applicability of COTS Microprocessors in High-Confidence Computing Systems: A Case Study. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Kanad Ghose Reducing energy requirements for instruction issue and dispatch in superscalar microprocessors (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction dispatching, instruction issue, window buffer, superscalar processor, power minimization
28Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto An instruction-level functionally-based energy estimation model for 32-bits microprocessors. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Takashi Takenaka, Junji Kitamichi, Teruo Higashino, Kenichi Taniguchi Formal Design Verification for Correctness of Pipelined Microprocessors with Out-of-order Instruction Execution. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Shantanu Ganguly, Daksh Lehther, Satyamurthy Pullela Clock Distribution Methodology for PowerPCTM Microprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Hélène Collavizza Functional semantics of microprocessors at the microprogram level and correspondence with the machine instruction level. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
28Michael J. Flynn, Robert I. Winner ASIC microprocessors. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
28Paul M. Russo Microprocessors at work: session overview. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
28Erika Gunadi, Mikko H. Lipasti Power-aware operand delivery. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power, microarchitecture, renaming
28Toshinori Sato Exploiting Instruction Redundancy for Transient Fault Tolerance. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28James Laudon, Anoop Gupta, Mark Horowitz Interleaving: A Multithreading Technique Targeting Multiprocessors and Workstations. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Mondira (Mandy) Deb Pant Microprocessor power delivery challenges in the Nano-Era. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power delivery, power, microprocessors
23Deniz Balkan, Joseph J. Sharkey, Dmitry V. Ponomarev, Kanad Ghose Predicting and Exploiting Transient Values for Reducing Register File Pressure and Energy Consumption. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF General, Microprocessors, Pipeline processors, Performance attributes
23Nicholas J. Wang, Aqeel Mahesri, Sanjay J. Patel Examining ACE analysis reliability estimates using fault-injection. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, microprocessors, soft errors, measurement techniques
23Patricio Bulic, Veselko Gustin An efficient way to filter out data dependences with a sufficiently large distance between memory references. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SIMD microprocessors, vectorizing compilers, data dependence analysis
23Michael B. Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF microprocessors, distributed architectures, Interconnection architectures
23Fred A. Bower, Sule Ozev, Daniel J. Sorin Autonomic Microprocessor Execution via Self-Repairing Arrays. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Logic design reliability and testing, microprocessors and microcomputers
23William Lloyd Bircher, M. Valluri, J. Law, Lizy K. John Runtime identification of microprocessor energy saving opportunities. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF speculative microprocessors, modeling, energy efficiency, power
23Xiuli Sun, Jinzhao Wu, Xiaoyu Song, Mila E. Majster-Cederbaum Formal Specification of an Asynchronous Processor via Action Refinement. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelines, microprocessors, asynchronous circuits, Action refinement
23Johnson Kin, Munish Gupta, William H. Mangione-Smith The Filter Cache: An Energy Efficient Memory Structure. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF direct mapped 256-byte filter cache, energy efficient memory structure, on-chip caches, static RAM, microprocessors, microprocessor chips, power reduction, embedded applications, L2 cache, filter cache, L1 cache
23Juha Plosila, Kaisa Sere Action Systems in Pipelined Processor Design. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pipelined processor design, asynchronous pipelined microprocessors, hazard situations, verifying refinement steps, circuit implementation, speed-independent techniques, refinement calculus, refinement calculus, action systems
23Shoji Suzuki, Kang G. Shin On memory protection in real-time OS for small embedded systems. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF real-time OS, small embedded systems, region enlargement, memory consumption, processing overhead, intermediate-level skip multi-size paging, multi-level paging, short-circuit segment tree, real-time systems, reliability, safety, microprocessors, paged segmentation, hardware support, memory protection
23Georg Färber, Franz Fischer, Thomas Kolloch, Annette Muth Improving processor utilization with a task classification model based application specific hard real-time architecture. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF task classification model, application specific hard real-time architecture, real-time architecture, target architecture framework, tightly coupled heterogeneous multiprocessor system, rapid prototyping platform, caches, pipelines, microprocessors, templates, schedulability analysis, execution times, software prototyping, hard real time systems, processor utilization
23P. Bosch, A. Carloganu, Daniel Etiemble Complete x86 instruction trace generation from hardware bus collect. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF complete x86 instruction trace generation, hardware bus collect, architectural improvements, benchmark traces, hardware/software approach, x86 execution traces, commercial analyzer, computer architecture, microprocessors, memory hierarchies, trace driven simulation, performance data
23Wolfgang K. Giloi, Ulrich Brüning 0001, Wolfgang Schröder-Preikschat MANNA: Prototype of a Distributed Memory Architecture with Maximized Sustained Performance. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF maximized sustained performance, compiler problem, innovative architectural solutions, overlapping communication, MANNA computer, benchmark performance, performance evaluation, parallel computers, operating system, parallel machines, distributed memory systems, parallelizing compilers, operating systems (computers), programmability, virtual storage, parallelising compilers, latency hiding, distributed memory parallel computer, distributed memory architecture, virtual shared memory, global address space, superscalar microprocessors
Displaying result #1 - #100 of 2330 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license