The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NBTI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2002-2006 (24) 2007 (33) 2008 (16) 2009 (33) 2010 (41) 2011 (39) 2012 (35) 2013 (32) 2014 (33) 2015 (29) 2016 (33) 2017 (18) 2018 (25) 2019-2020 (24) 2021-2022 (21) 2023 (8)
Publication types (Num. hits)
article(161) inproceedings(283)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 110 occurrences of 58 keywords

Results
Found 444 publication records. Showing 444 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
144Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-aware sleep transistor design for reliable power-gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, sizing, sleep-transistor, nbti
138Wenping Wang, Shengqi Yang, Yu Cao 0001 Node Criticality Computation for Circuit Timing Analysis and Optimization under NBTI Effect. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
129Basab Datta, Wayne P. Burleson Analysis and mitigation of NBTI-impact on PVT variability in repeated global interconnect performance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tunable buffer, variability, NBTI, global-interconnect
129Hamed Abrishami, Safar Hatami, Behnam Amelifard, Massoud Pedram NBTI-aware flip-flop characterization and design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF device aging, setup and hold times, static timing analysis, NBTI, circuit reliability
114Taniya Siddiqua, Sudhanva Gurumurthi A multi-level approach to reduce the impact of NBTI on processor functional units. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, NBTI
114Rakesh Vattikonda, Wenping Wang, Yu Cao 0001 Modeling and minimization of PMOS NBTI effect for robust nanometer design. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reliability, variability, temperature, performance degradation, NBTI, threshold voltage
108Yu Wang 0002, Xiaoming Chen 0003, Wenping Wang, Varsha Balakrishnan, Yu Cao 0001, Yuan Xie 0001, Huazhong Yang On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
108Kunhyuk Kang, Saakshi Gangwal, Sang Phill Park, Kaushik Roy 0001 NBTI induced performance degradation in logic and memory circuits: how effectively can we approach a reliability solution? Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
106Kunhyuk Kang, Haldun Kufluoglu, Kaushik Roy 0001, Muhammad Ashraful Alam Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
99Ashutosh Chakraborty, David Z. Pan Skew management of NBTI impacted gated clock trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock skew, clock gating, NBTI
99Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-aware power gating for concurrent leakage and aging optimization. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF aging, leakage, power-gating, nbti
99Zhenyu Qi, Mircea R. Stan NBTI resilient circuits using adaptive body biasing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, body bias, nbti
99John Keane 0001, Tony Tae-Hyoung Kim, Chris H. Kim An on-chip NBTI sensor for measuring PMOS threshold voltage degradation. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF locked loop, delay, aging, NBTI
99Yiran Chen 0001, Hai Li 0001, Jing Li 0073, Cheng-Kok Koh Variable-latency adder (VL-adder): new arithmetic circuit design practice to overcome NBTI. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF variable-latency adder (VL-adder), negative bias temperature instability (NBTI)
96Xiaoming Chen 0003, Yu Wang 0002, Yu Cao 0001, Yuchun Ma, Huazhong Yang Variation-aware supply voltage assignment for minimizing circuit degradation and leakage. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic vdd scaling, leakage power, negative bias temperature instability (NBTI), dual vdd
93Shubhankar Basu, Ranga Vemuri Process Variation and NBTI Tolerant Standard Cells to Improve Parametric Yield and Lifetime of ICs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
93Xiangning Yang, Kewal K. Saluja Combating NBTI Degradation via Gate Sizing. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
93Wenping Wang, Shengqi Yang, Sarvesh Bhardwaj, Rakesh Vattikonda, Sarma B. K. Vrudhula, Frank Liu 0001, Yu Cao 0001 The Impact of NBTI on the Performance of Combinational and Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
91Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar An analytical model for negative bias temperature instability. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
84Bin Zhang 0011, Michael Orshansky Modeling of NBTI-Induced PMOS Degradation under Arbitrary Dynamic Temperature Variation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF temperature variation, NBTI
84Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Impact of NBTI on SRAM Read Stability and Design for Reliability. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Static Noise Margin (SNM), Reaction-Diffusion (R-D) Model, Cache, SRAM, Negative Bias Temperature Instability (NBTI)
78Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Jaume Abella 0001, Xavier Vera, Antonio González 0001 Penelope: The NBTI-Aware Processor. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Kunhyuk Kang, Sang Phill Park, Kaushik Roy 0001, Muhammad Ashraful Alam Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Rakesh Vattikonda, Yansheng Luo, Alex Gyure, Xiaoning Qi, Sam C. Lo, Mahmoud Shahram, Yu Cao 0001, Kishore Singhal, Dino Toffolon A New Simulation Method for NBTI Analysis in SPICE Environment. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Hong Luo, Yu Wang 0002, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Modeling of PMOS NBTI Effect Considering Temperature Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Xiangning Yang, Eric F. Weglarz, Kewal K. Saluja On NBTI Degradation Process in Digital Logic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Kunhyuk Kang, Keejong Kim, Ahmad E. Islam, Muhammad Ashraful Alam, Kaushik Roy 0001 Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
78Bipul Chandra Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy 0001 Temporal performance degradation under NBTI: estimation and design for improved reliability of nanoscale circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
69Mehmet Basoglu, Michael Orshansky, Mattan Erez NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wearout, energy efficiency, process variation, DVFS, NBTI
69Basab Datta, Wayne P. Burleson Circuit-level NBTI macro-models for collaborative reliability monitoring. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF macro-models, on-chip sensors, calibration, NBTI
69Zhenyu Qi, Jiajing Wang, Adam C. Cabe, Stuart N. Wooters, Travis N. Blalock, Benton H. Calhoun, Mircea R. Stan SRAM-based NBTI/PBTI sensor system design. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PBTI, sensor system design, sensor, redundancy, process variation, aging, yield, SRAM, NBTI
63Michael DeBole, Krishnan Ramakrishnan, Varsha Balakrishnan, Wenping Wang, Hong Luo, Yu Wang 0002, Yuan Xie 0001, Yu Cao 0001, Narayanan Vijaykrishnan A framework for estimating NBTI degradation of microarchitectural components. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Balaji Vaidyanathan, Anthony S. Oates, Yuan Xie 0001, Yu Wang 0002 NBTI-aware statistical circuit delay assessment. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Jin Sun 0006, Avinash Karanth Kodi, Ahmed Louri, Janet Meiling Wang NBTI aware workload balancing in multi-core systems. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Alexander L. Stempkovsky, Alexey Glebov, Sergey Gavrilov Calculation of stress probability for NBTI-aware timing analysis. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Xin Fu, Tao Li 0006, José A. B. Fortes NBTI tolerant microarchitecture design in the presence of process variation. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Krishnan Ramakrishnan, Xiaoxia Wu, Narayanan Vijaykrishnan, Yuan Xie 0001 Comparative analysis of NBTI effects on low power and high performance flip-flops. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Kewal K. Saluja, Shriram Vijayakumar, Warin Sootkaneung, Xaingning Yang NBTI Degradation: A Problem or a Scare? Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Krishnan Ramakrishnan, Sivaprakasam Suresh, Narayanan Vijaykrishnan, Mary Jane Irwin Impact of NBTI on FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Bipul Chandra Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy 0001 Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Wenping Wang, Zile Wei, Shengqi Yang, Yu Cao 0001 An efficient method to identify critical gates under circuit aging. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
59Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-Aware NBTI Modeling and the Impact of Standby Leakage Reduction Techniques on Circuit Performance Degradation. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF temperature-aware NBTI modeling, circuit performance degradation, Negative bias temperature instability (NBTI), leakage reduction
53Karthik Duraisami, Enrico Macii, Massimo Poncino Using soft-edge flip-flops to compensate NBTI-induced delay degradation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF device aging, seff, setup and hold time, flip-flop, circuit reliability, nbti
48Anuj Pushkarna, Hamid Mahmoodi Reliability analysis of power gated SRAM under combined effects of NBTI and PBTI in nano-scale CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, aging, SRAM, power gating
48Andrea Marongiu, Andrea Acquaviva, Luca Benini OpenMP Support for NBTI-Induced Aging Tolerance in MPSoCs. Search on Bibsonomy SSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
48Lide Zhang, Robert P. Dick Scheduled voltage scaling for increasing lifetime in the presence of NBTI. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
48Adam C. Cabe, Zhenyu Qi, Stuart N. Wooters, Travis N. Blalock, Mircea R. Stan Small embeddable NBTI sensors (SENS) for tracking on-chip performance decay. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
48Hong Luo, Yu Wang 0002, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 A Novel Gate-Level NBTI Delay Degradation Model with Stacking Effect. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
48Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar NBTI-Aware Synthesis of Digital Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino Aging effects of leakage optimizations for caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memory hierarchy, aging, leakage reduction
45Subhasish Mitra Circuit Failure Prediction Enables Robust System Design Resilient to Aging and Wearout. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Mridul Agarwal, Bipul C. Paul, Ming Zhang, Subhasish Mitra Circuit Failure Prediction and Its Application to Transistor Aging. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino Dynamic indexing: concurrent leakage and aging optimization for caches. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage optimization, memory hierarchy, aging, NBTI
36Martin Omaña 0001, Daniele Rossi 0001, Nicolò Bosio, Cecilia Metra Novel low-cost aging sensor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF aging sensor, performance degradation, nbti
36Arjun Rajagopal Clock tree design challenges for robust and low power design. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF NBTI, IR drop
36Zhihong Liu, Bruce McGaughy, James Z. Ma Design tools for reliability analysis. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design-in reliability, reliability simulation, HCI, EM, NBTI
36Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon A dual-core 64b ultraSPARC microprocessor for dense server applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability
35Gyusung Park, Hanzhao Yu, Minsu Kim, Chris H. Kim An All BTI (N-PBTI, N-NBTI, P-PBTI, P-NBTI) Odometer based on a Dual Power Rail Ring Oscillator Array. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
35Katerina Katsarou, Yiorgos Tsiatouhas, Angela Arapoyanni NBTI aging tolerance in pipeline based designs NBTI. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
35Eisuke Saneyoshi, Koichi Nose, Masayuki Mizuno A precise-tracking NBTI-degradation monitor independent of NBTI recovery effect. Search on Bibsonomy ISSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
33Ronald Carlsten, Jeremy Ralston-Good, Douglas Goodman An Approach to Detect Negative Bias Temperature Instability (NBTI) in Ultra-Deep Submicron Technologies. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Krishnan Ramakrishnan, R. Rajaraman, Sivaprakasam Suresh, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Variation Impact on SER of Combinational Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Guihai Yan, Yinhe Han 0001, Xiaowei Li 0001 ReviveNet: A Self-Adaptive Architecture for Improving Lifetime Reliability via Localized Timing Adaptation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Lifetime reliability, aging sensor, self-adaptive, NBTI, timing adaptation
21Edward A. Stott, Peter Y. K. Cheung Improving FPGA Reliability with Wear-Levelling. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF FPGA, Reliability, NBTI, Degradation, Wear Levelling
21Amlan Ghosh, Rob Franklin, Richard B. Brown Analog Circuit Design Methodologies to Improve Negative-Bias Temperature Instability Degradation. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog circuit design methodologies, input switching, NBTI, body biasing
21Yinghai Lu, Li Shang, Hai Zhou 0001, Hengliang Zhu, Fan Yang 0001, Xuan Zeng 0001 Statistical reliability analysis under process variation and aging effects. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variations, yield, NBTI
21Thomas Pompl, Christian Schlünder, Martina Hommel, Heiko Nielen, Jens Schneider Practical aspects of reliability analysis for IC designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ESD, TDDB of intermetal dielectric, design-in reliability, gate oxide integrity, hot carrier stress, stress-induced voiding, NBTI, electromigration
18Daniele Placido, Gianluca De Marzi, Luigi Muzzi, Laura Savoldi Techno-Economic Optimization of the NbTi DTT Feeders. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Daniele Placido, Gianluca De Marzi, Luigi Muzzi, Laura Savoldi Corrections to "Techno-Economic Optimization of the NbTi DTT Feeders". Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hui Xu, Rui Zhu, Xia Sun, Xianjin Fang, Pan Qi, Huaguo Liang, Zhengfeng Huang Novel Critical Gate-Based Circuit Path-Level NBTI-Aware Aging Circuit Degradation Prediction. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Huimei Zhou, Miaomiao Wang 0006, Nicolas Loubet, Andrew Gaul, Yasir Sulehria Impact of Gate Stack Thermal Budget on NBTI Reliability in Gate-All-Around Nanosheet P-type Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Christian Bogner, Christian Schlünder, Michael Waltl, Hans Reisinger, Tibor Grasser Modeling of NBTI Induced Threshold Voltage Shift Based on Activation Energy Maps Under Consideration of Variability. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Wonju Sung, Hyun Seung Kim, Jung Hoon Han, Seguen Park, Jeonghoon Oh, Hyodong Ban, Jooyoung Lee Investigation on NBTI Control Techniques of HKMG Transistors for Low-power DRAM applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Daehyun Kwon, Heon Su Jeong, Jaemin Choi, Wijong Kim, Jae Woong Kim, Junsub Yoon, Jungmin Choi, Sanguk Lee, Hyunsub Norbert Rie, Jin-Il Lee, Jongbum Lee, Taeseong Jang, JunHyung Kim, Sanghee Kang, Jung-Bum Shin, Yanggyoon Loh, Chang-Yong Lee, Junmyung Woo, Hye-Seung Yu, Changhyun Bae, Reum Oh, Young-Soo Sohn, Changsik Yoo, Jooyoung Lee A 1.1V 6.4Gb/s/pin 24-Gb DDR5 SDRAM with a Highly-Accurate Duty Corrector and NBTI-Tolerant DLL. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yi Jiang, Yanning Chen, Fang Liu, Bo Wu, Yongfeng Deng, Junkang Li, Dawei Gao, Rui Zhang Systematic Study on Predicting the Lifetime of Si pMOSFETs During NBTI Stress Based on Low-Frequency Noise. Search on Bibsonomy ICICDT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Jani Babu Shaik, Sonal Singhal, Siona Menezes Picardo, Nilesh Goel Impact of various NBTI distributions on SRAM performance for FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yarong Fu, Wang Wang, Xin Zhong, Manni Li, Zixu Li, Qing Dong, Yu Jiang, Yinyin Lin Statistical Observations of Three Co-Existing NBTI Behaviors in 28 nm HKMG by On-Chip Monitor With Less Recovery Impact. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ashish Sharma 0005, Manoj Singh Gaur, Lava Bhargava, Vijay Laxmi, Manoj Gupta Pre-Silicon NBTI Delay-Aware Modeling of Network-on-Chip Router Microarchitecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Abhishek Bhattacharjee, Abhishek Nag, Kaushik Das, Sambhu Nath Pradhan Design of Power Gated SRAM Cell for Reducing the NBTI Effect and Leakage Power Dissipation During the Hold Operation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Nicolás Landeros Muñoz, Alejandro Valero, Ruben Gran Tejero, Davide Zoni Gated-CNN: Combating NBTI and HCI aging effects in on-chip activation memories of Convolutional Neural Network accelerators. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Christian Bogner, Tibor Grasser, Michael Waltl, Hans Reisinger, Christian Schlünder Efficient Evaluation of the Time-Dependent Threshold Voltage Distribution Due to NBTI Stress Using Transistor Arrays. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yu-Hsing Cheng, Michael Cook 0004, Derryl D. J. Allman NBTI Characterization with in Situ Poly Heater. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Nilotpal Choudhury, Ayush Ranjan, Souvik Mahapatra Decoupling of NBTI and Pure HCD Contributions in p-GAA SNS FETs Under Mixed VG/VD Stress. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18S. M. Shakil, Muhammad Sana Ullah Effects of NBTI On PMOS Device With Technology Scaling. Search on Bibsonomy UEMCON The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yu-Guang Chen, Ing-Chao Lin, Kun-Wei Chiu, Cheng-Hsuan Liu An efficient NBTI-aware wake-up strategy: Concept, design, and manipulation. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Abhishek Bhattacharjee, Sambhu Nath Pradhan NBTI-Aware Power Gating Design with Dynamically Varying Stress Probability Control on Sleep Transistor. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Kajal, Vijay Kumar Sharma Design and Simulation for NBTI Aware Logic Gates. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Stephan Adolf, Wolfgang Nebel Abstraction NBTI model. Search on Bibsonomy it Inf. Technol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Matthew R. Strong, Kushagra Bhatheja, Ruohan Yang, Degang Chen 0001 A Simple Monitor for Tracking NBTI in Integrated Systems. Search on Bibsonomy MWSCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Longda Zhou, Zhaohao Zhang, Hong Yang, Zhigang Ji, Qianqian Liu, Qingzhu Zhang, Eddy Simoen, Huaxiang Yin, Jun Luo, Anyan Du, Chao Zhao, Wenwu Wang 0006 A Fast DCIV Technique for Characterizing the Generation and Repassivation of Interface Traps Under DC/ AC NBTI Stress/Recovery Condition in Si p-FinFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Gang-Jun Kim, Moonjee Yoon, SungHwan Kim, Myeongkyu Eo, Shinhyung Kim, Taehun You, Namhyun Lee, Kijin Kim, Sangwoo Pae The Characterization of Degradation on various SiON pMOSFET transistors under AC/DC NBTI stress. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Nilotpal Choudhury, Tarun Samadder, Ravi Tiwari, Huimei Zhou, Richard G. Southwick, Miaomiao Wang 0006, Souvik Mahapatra Analysis of Sheet Dimension (W, L) Dependence of NBTI in GAA-SNS FETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Hao Chang, Longda Zhou, Hong Yang, Zhigang Ji, Qianqian Liu, Eddy Simoen, Huaxiang Yin, Wenwu Wang 0006 Comparative Study on the Energy Distribution of Defects under HCD and NBTI in Short Channel p-FinFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Xiong Li, Huangxia Zhu, Xiaolin Guo, Kejun Mu, Peng Feng, Qi-An Xu, Blacksmith Wu, Kanyu Cao Impact of Hydrogen Anneal on Peripheral PMOS NBTI and Array Transistor GIDL in DRAM. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Yu-Guang Chen, Ing-Chao Lin, Yong-Che Wei A Novel NBTI-Aware Chip Remaining Lifetime Prediction Framework Using Machine Learning. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Takumi Hosaka, Shinichi Nishizawa, Ryo Kishida, Takashi Matsumoto, Kazutoshi Kobayashi Universal NBTI Compact Model Replicating AC Stress/Recovery from a Single-shot Long-term DC Measurement. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Shilpa Pendyala, Sheikh Ariful Islam, Srinivas Katkoori Gate Level NBTI and Leakage Co-Optimization in Combinational Circuits with Input Vector Cycling. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Amel Chenouf, Boualem Djezzar, Hamid Bentarzi, Abdelmadjid Benabdelmoumene Sizing of the CMOS 6T-SRAM cell for NBTI ageing mitigation. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Liting Yu, Jianguo Ren, Xian Lu, Xiaoxiao Wang 0001 NBTI and HCI Aging Prediction and Reliability Screening During Production Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 444 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license