The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Variations with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1971 (15) 1972-1976 (18) 1977-1979 (15) 1980-1981 (15) 1982-1984 (22) 1985-1986 (25) 1987 (21) 1988 (28) 1989 (32) 1990 (35) 1991 (21) 1992 (40) 1993 (49) 1994 (55) 1995 (89) 1996 (112) 1997 (94) 1998 (126) 1999 (179) 2000 (239) 2001 (287) 2002 (391) 2003 (477) 2004 (674) 2005 (864) 2006 (1073) 2007 (1210) 2008 (1244) 2009 (734) 2010 (315) 2011 (235) 2012 (213) 2013 (236) 2014 (215) 2015 (279) 2016 (256) 2017 (275) 2018 (276) 2019 (283) 2020 (318) 2021 (349) 2022 (330) 2023 (355) 2024 (78)
Publication types (Num. hits)
article(4168) book(9) data(1) incollection(51) inproceedings(7899) phdthesis(69)
Venues (Conferences, Journals, ...)
Remote. Sens.(308) CoRR(297) IEEE Trans. Comput. Aided Des....(180) DAC(173) ISQED(163) ISCAS(150) ICCAD(131) DATE(119) IEEE Trans. Very Large Scale I...(118) IGARSS(112) ASP-DAC(97) IEEE Trans. Pattern Anal. Mach...(93) CVPR(90) ISLPED(80) Sensors(80) ICIP(77) More (+10 of total 2607)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 7204 occurrences of 3895 keywords

Results
Found 12197 publication records. Showing 12197 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
82Savithri Sundareswaran, Jacob A. Abraham, Alexandre Ardelea, Rajendran Panda Characterization of Standard Cells for Intra-Cell Mismatch Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Statistical Characterization, Intra-Cell Variations, Random Variations, Mismatch Variations
78Songqing Zhang, Vineet Wason, Kaustav Banerjee A probabilistic framework to estimate full-chips subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF die-to-die variations, electrothermal couplings, subthreshold leakage power distribution, yield estimation, process variations, within-die variations
60Guihai Yan, Xiaoyao Liang, Yinhe Han 0001, Xiaowei Li 0001 Leveraging the core-level complementary effects of PVT variations to reduce timing emergencies in multi-core processors. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF complimentary effects, delay sensor, pvt variations, timing emergency, thread migration
56Vineet Wason, Kaustav Banerjee A probabilistic framework for power-optimal repeater insertion in global interconnects under parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF buffer-interconnect system, statistical delay and power models, sensitivity analysis, parameter variations, statistical optimization
53Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
51Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
49Yo-Ping Huang, Jung-Shian Jau, Frode Eika Sandnes Temporal-spatial association analysis of ocean salinity and temperature variations. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ocean temperature and salinity variations, temporal-spatial association rules, data mining, climate changes
46Yan Pan, Joonho Kong, Serkan Ozdemir, Gokhan Memik, Sung Woo Chung Selective wordline voltage boosting for caches to manage yield under process variations. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF access time failure, selective wordline voltage boosting, cache, process variations, yield
46Saumya Chandra, Kanishka Lahiri, Anand Raghunathan, Sujit Dey Considering process variations during system-level power analysis. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF system-on-chip, low power design, process variations, power analysis, power estimation
45Sarma B. K. Vrudhula, Sarvesh Bhardwaj Tutorial T6: Robust Design of Nanoscale Circuits in the Presence of Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43N. Verghese, P. Hurat DFM reality in sub-nanometer IC design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF EDA solutions, subnanometer IC design, catastrophic failures, systematic manufacturing variations, subnanometer manufacturing variations, DFM, design for manufacturing, parametric failures
43Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Mathematically assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nonlinear Programming Problem (NLPP), daptive Body Bias (ABB), temperature variations, delay, process variations, leakage, enumeration
42Egas Henes Neto, Fernanda Lima Kastensmidt, Gilson I. Wirth A built-in current sensor for high speed soft errors detection robust to process and temperature variations. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault-tolerance, process variations, built-in current sensor
42Nilanjan Banerjee, Saumya Chandra, Swaroop Ghosh, Sujit Dey, Anand Raghunathan, Kaushik Roy 0001 Coping with Variations through System-Level Design. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Yuuri Sugihara, Manabu Kotani, Kazuya Katsuki, Kazutoshi Kobayashi, Hidetoshi Onodera A 90nm 8×16 FPGA Enhancing Speed and Yield Utilizing Within-Die Variations. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LUT-based FPGA device, simple model circuit, ring oscillators, within-die variations, placement optimization, 90 nm
38Navid Azizi, Muhammad M. Khellah, Vivek De, Farid N. Najm Variations-aware low-power design with voltage scaling. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variations, parallel systems, low-voltage
38Suriyaprakash Natarajan, Melvin A. Breuer, Sandeep K. Gupta 0001 Process Variations and their Impact on Circuit Operation. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF process parameters, electrical parameters, design corners, delay, correlations, process variations, crosstalk
38Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 Process Variations and Process-Tolerant Design. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38N. S. Nagaraj Dealing with interconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Serkan Ozdemir, Yan Pan, Abhishek Das, Gokhan Memik, Gabriel H. Loh, Alok N. Choudhary Quantifying and coping with parametric variations in 3D-stacked microarchitectures. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF processor pipeline, process variations, 3D integration, cache architectures
35Antonina Mitrofanova, Bud Mishra Population genetics of human copy number variations: models and simulation of their evolution along and across the genomes. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF copy number variations (CNV), segmental duplications (SD) & coalescent process, population genetics
35Patrick McGuinness Variations, margins, and statistics. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design margins, process variations, yield, SSTA
35Tien-Ting Fang, Ting-Chi Wang Fast Buffered Delay Estimation Considering Process Variations. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical buffer insertion method, buffered delay estimation, first-order canonical forms, buffer blockages, deterministic delay estimation method, process variations
35Flavia Doboga Different Structural Patterns Created by Short Range Variations of Internal Parameters. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF short range variations, internal parameters, patterns
35Uday Padmanabhan, Janet Meiling Wang, Jiang Hu Statistical clock tree routing for robustness to process variations. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF routing, robustness, process variations, clock tree
35Jia Wang 0003, Hai Zhou 0001 Minimal period retiming under process variations. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF process variations, retiming, statistical timing analysis
35Zaid Al-Ars, Ad J. van de Goor Analyzing the Impact of Process Variations on DRAM Testing Using Border Resistance Traces. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF border resistance trace, process variations, memory testing, DRAMs, defect simulation
35Jie Wei, Ze-Nian Li Motion compensation in color video with illumination variations. Search on Bibsonomy ICIP (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF color video, illumination variations, motion vector estimation, illumination matrix, minimal mean square error, performance, image sequence, experiments, motion compensation, video compression, image colour analysis, block-matching
35Masanori Muroyama, Tohru Ishihara, Hiroto Yasuura Analysis of Effects of Input Arrival Time Variations on On-Chip Bus Power Consumption. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Arrival time variation, coupling power, power estiamtion, low power coding, on-chip bus
35Yuuri Sugihara, Yohei Kume, Kazutoshi Kobayashi, Hidetoshi Onodera Speed and yield enhancement by track swapping on critical paths utilizing random variations for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, routing, variation, yield enhancement
35Abdullah K. Alqallaf, Ahmed H. Tewfik, Scott B. Selleck, Rebecca Johnson Framework for the analysis of genetic variations across multiple DNA copy number samples. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Keith A. Bowman, James W. Tschanz, Chris Wilkerson, Shih-Lien Lu, Tanay Karnik, Vivek De, Shekhar Y. Borkar Circuit techniques for dynamic variation tolerance. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic variations, error-detection sequential, replica paths, resilient circuits, variation sensors, variation-tolerant circuits, error detection, error correction, error recovery, parameter variations, timing errors
33John M. Gauch, Stephen M. Pizer The Intensity Axis of Symmetry and Its Application to Image Segmentation. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF spatial variations, shape structures, intensity axis, geometric coherence, computer vision, computer vision, image processing, image segmentation, image segmentation, image recognition, symmetry, shape description, intensity variations, grey-scale images
33James H. Duncan, Tsai-Chia Chou On the Detection of Motion and the Computation of Optical Flow. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF temporal variations, temporal Gaussian smoothing function, moving edges, spatial variations, pattern recognition, pattern recognition, picture processing, image sequences, optical flow, lighting, optical information processing, zero crossings, image intensity, illumination effects
33Lawrence B. Wolff, Terrance E. Boult Constraining Object Features Using a Polarization Reflectance Model. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF intrinsic light-dark variations, polarization reflectance model, Fresnel reflection coefficients, electrical conductivity, color variations, intensity edges, light polarisation, pattern recognition, pattern recognition, reflectivity, machine vision, optical information processing, light reflection, surface segmentation
32Srinivasa R. S. T. G, Srivatsava Jandhyala, Narahari Tondamuthuru R Process Variability Analysis in DSM Through Statistical Simulations and its Implications to Design Methodologies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design Methodologies, Random, DSM, Variations, Systematic
31Kwangok Jeong, Andrew B. Kahng, Rasit Onur Topaloglu Is overlay error more important than interconnect variations in double patterning? Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF double patterning lithography, interconnect variations, overlay
31Ryan Helinski, Dhruva Acharyya, Jim Plusquellic A physical unclonable function defined using power distribution system equivalent resistance variations. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF unique identifier, process variations, hardware security
31Xin Li 0001, Yu Cao 0001 Projection-Based Piecewise-Linear Response Surface Modeling for Strongly Nonlinear VLSI Performance Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Performance modeling, process variations
31Keith A. Bowman, Alaa R. Alameldeen, Srikanth T. Srinivasan, Chris Wilkerson Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FMAX distribution, parameter fluctuations, throughput distribution, multi-core, parameter variations
31Hari Ananthan, Kaushik Roy 0001 A fully physical model for leakage distribution under process variations in Nanoscale double-gate CMOS. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage distribution, multiple-gate, tri-gate, process variations, finFET, double-gate
31Yu Cao, Lawrence T. Clark Mapping statistical process variations toward circuit performance variability: an analytical modeling approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay, process variations, variability
31Mitsuru Kobayashi, Shinya Masaki, Osamu Miyamoto, Youitch Nakagawa, Yoshimitsu Komiya, Takashi Matsumoto 0001 RAV (reparameterized angle variations) algorithm for online handwriting recognition. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Pen trajectory, Stroke connections, Stroke-order variations, Online handwriting recognition
31C.-J. Richard Shi, Michael W. Tian Simulation and sensitivity of linear analog circuits under parameter variations by Robust interval analysis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interval mathematics, uncertainty, process variations, sensitivity, worst-case analysis
31Javid Jaffari, Mohab Anis Statistical Thermal Profile Considering Process Variations: Analysis and Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Eric Chun, Zeshan Chishti, T. N. Vijaykumar Shapeshifter: Dynamically changing pipeline width and speed to address process variations. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Saumya Chandra, Kanishka Lahiri, Anand Raghunathan, Sujit Dey System-on-Chip Power Management Considering Leakage Power Variations. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Benjamin N. Lee, Li-C. Wang, Magdy S. Abadir Reducing Pattern Delay Variations for Screening Frequency Dependent Defects. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Min Zhao 0001, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal Worst case clock skew under power supply variations. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock skew, power supply noise, clock network
31Ying Liu, Sani R. Nassif, Lawrence T. Pileggi, Andrzej J. Strojwas Impact of interconnect variations on the clock skew of a gigahertz microprocessor. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Ameer Abdelhadi, Ran Ginosar, Avinoam Kolodny, Eby G. Friedman Timing-driven variation-aware nonuniform clock mesh synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock mesh synthesis, non-tree clock networks, vlsi cad, power, process variations, physical design, clock skew, clock distribution
29Mihir R. Choudhury, Masoud Rostami, Kartik Mohanram Dominant critical gate identification for power and yield optimization in logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low-vt, process variations, yield
29Abbas Z. Kouzani Classification of face images using local iterated function systems. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Collage theorem, Image variations, Local iterated function systems, Recognition, Fractals, Face images
29Shahin Nazarian, Ali Iranli, Massoud Pedram Crosstalk analysis in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk-aware delay, correlation, process variations, variance, mean, statistical static timing analysis
29Michael D. Powell, T. N. Vijaykumar Exploiting Resonant Behavior to Reduce Inductive Noise. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Lin Xie, Azadeh Davoodi, Kewal K. Saluja Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon diagnosis, process variations
28Jungseob Lee, Nam Sung Kim Optimizing total power of many-core processors considering voltage scaling limit and process variations. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage and frequency scaling, process variations, parallel applications, many-core processor
28Boban Marinkovic Optimality conditions for discrete calculus of variations problems. Search on Bibsonomy Optim. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Discrete calculus of variations, 2-regularity, Mathematical programming, Optimality conditions
28Benson S. Y. Lam, Alan Wee-Chung Liew, David K. Smith 0001, Hong Yan 0001 A Regularized Clustering Algorithm Based on Calculus of Variations. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, microarray data analysis, calculus of variations
28Bahman Kheradmand Boroujeni, Christian Piguet, Yusuf Leblebici Reverse Vgs Static CMOS (RVGS-SCMOS); A New Technique for Dynamically Compensating the Process Variations in Sub-threshold Designs. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sub-VToperation, variation compensation, logic style, active-mode leakage, process variations
28Xin Li 0001, Hongzhou Liu Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF response surface modeling, process variations, circuits
28Praveen Ghanta, Sarma B. K. Vrudhula Analysis of Power Supply Noise in the Presence of Process Variations. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF voltage response, verification, computer-aided design, process variations, modeling methodologies, power supply noise
28Frank Huebbers, Ali Dasdan, Yehea I. Ismail Computation of accurate interconnect process parameter values for performance corners under process variations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sorners, delay, interconnect, STA, variations
28L. Richard Carley, Akshay Aggarwal, Ram K. Krishnamurthy Decreasing low-voltage manufacturing-induced delay variations with adaptive mixed-voltage-swing circuits. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power CMOS logic, low voltage logic circuits, manufacturing variations, mixed-swing CMOS logic
27Meeta Sharma Gupta, Jude A. Rivers, Pradip Bose, Gu-Yeon Wei, David M. Brooks Tribeca: design for PVT variations with local recovery and fine-grained adaptation. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yang Ding, Mahmut T. Kandemir, Mary Jane Irwin, Padma Raghavan Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Jie Zhang 0007, Nishant Patil, Arash Hazeghi, Subhasish Mitra Carbon nanotube circuits in the presence of carbon nanotube density variations. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CNT correlation, CNT density variation, carbon nanotube, CNT
27Mohamed H. Abu-Rahma, Mohab Anis A Statistical Design-Oriented Delay Variation Model Accounting for Within-Die Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Katsumi Homma, Izumi Nitta, Toshiyuki Shibuya Non-Gaussian Statistical Timing models of die-to-die and within-die parameter variations for full chip analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Brendan Hargreaves, Henrik Hult, Sherief Reda Within-die process variations: How accurately can they be statistically modeled? Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Daniel Iparraguirre-Cardenas, Jose Luis Garcia-Gervacio, Víctor H. Champac A design methodology for logic paths tolerant to local intra-die variations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Navid Azizi, Muhammad M. Khellah, Vivek De, Farid N. Najm Variations-Aware Low-Power Design and Block Clustering With Voltage Scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Simon Léonard, Martin Jägersand On with the Visuomotor Function: A 6DOF Adaptive Approach for Modeling Image-Based Variations and Visual Servoing. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jungseob Lee, Azadeh Davoodi Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sivasubramaniam Krishnamurthy, Somnath Paul, Swarup Bhunia Adaptation to Temperature-Induced Delay Variations in Logic Circuits Using Low-Overhead Online Delay Calibration. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Massimo Alioto, Gaetano Palumbo Impact of Supply Voltage Variations on Full Adder Delay: Analysis and Comparison. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27José Luis Rosselló, Carol de Benito, Sebastià A. Bota, Jaume Segura 0001 Leakage Power Characterization Considering Process Variations. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jinjun Xiong, Lei He 0001 Fast buffer insertion considering process variations. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pruning rule, dynamic programming, process variation, transitive closure, buffer insertion
27Ke Cao, Sorin Dobre, Jiang Hu Standard cell characterization considering lithography induced variations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF process CD, CAD, OPC, design flow, standard cell, RET
27Rui Ishiyama, Masahiko Hamanaka, Shizuo Sakamoto An appearance model constructed on 3-D surface for robust face recognition against pose and illumination variations. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part C The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Wei Ling, Yvon Savaria Analysis of Wave-Pipelined Domino Logic Circuit and Clocking Styles Subject to Parametric Variations. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27S. B. Samaan The impact of device parameter variations on the frequency and performance of VLSI chips. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Xiaoming Liu 0002, Tsuhan Chen, B. V. K. Vijaya Kumar On Modeling Variations for Face Authentication. Search on Bibsonomy FGR The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Eigenflow, Authentication, PCA, Registration, Expression, Face
27M. Eisele, Jörg Berthold, Doris Schmitt-Landsiedel, R. Mahnkopf The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Nicholas A. Knouf Variations 10b: a digital realization of cage's variations II. Search on Bibsonomy ACM Multimedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF John Cage, experimental music, graphical scores, performance, installation
25Po-Yuan Chen, Chiao-Chen Fang, TingTing Hwang, Hsi-Pin Ma Leakage reduction, delay compensation using partition-based tunable body-biasing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power design, process variations, leakage current, Body biasing
25Mahmoud Ben Naser, Csaba Andras Moritz Power and performance tradeoffs with process variation resilient adaptive cache architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variations, leakage power, adaptive cache
25Toshinori Sato, Shingo Watanabe Instruction Scheduling for Variation-Originated Variable Latencies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable latency adder, long latency adder, instruction criticality, microprocessors, parameter variations
25Georges Nabaa, Navid Azizi, Farid N. Najm An adaptive FPGA architecture with process variation compensation and reduced leakage. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, process variations, leakage, body-biasing
25Sekhar Darbha, Santosh Pande A Robust Compile Time Method for Scheduling Task Parallelism on Distributed Memory Machines. Search on Bibsonomy J. Supercomput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cost Variations, Robustness, Scheduling Algorithms, Directed Acyclic Graph, Distributed Memory Machines, task Parallelism
25Xiaoji Ye, Frank Liu 0001, Peng Li 0001 Fast Variational Interconnect Delay and Slew Computation Using Quadratic Models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Jung Hwan Choi, Jayathi Murthy, Kaushik Roy 0001 The effect of process variation on device temperature in FinFET circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Sani R. Nassif Design for Variability in DSM Technologies. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Siddharth Garg, Diana Marculescu On the impact of manufacturing process variations on the lifetime of sensor networks. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage power variability, manufacturing process variations, sensor networks, lifetime
24Tina Yu Program evolvability under environmental variations and neutrality. Search on Bibsonomy GECCO (Companion) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF environmental variations, neutral networks, open-ended evolution, redundancy, self-adaptation, dynamical environment, evolvability, genotype, neutrality, phenotype, genetic drift
24Sarvesh Bhardwaj, Sarma B. K. Vrudhula, Praveen Ghanta, Yu Cao 0001 Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Karhunen-Loeve, intra-die, correlations, process variations, statistical, leakage
24Mohamed Nekili, Yvon Savaria, Guy Bois Design of Clock Distribution Networks in Presence of Process Variations. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF process variations, clock skew, clock distribution
24Kai Shen Request behavior variations. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF operating system adaptation, request modeling, server system, multicore, hardware counter
24Dimin Niu, Yiran Chen 0001, Cong Xu, Yuan Xie 0001 Impact of process variations on emerging memristor. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memristor, process variation, nonvolatile memory
24Mahmoud A. Bennaser, Yao Guo 0001, Csaba Andras Moritz Data Memory Subsystem Resilient to Process Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 12197 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license